source: PROJECT_SMART_EEG/trunk/hw/sync_sys/stream_merger.v @ 83

Last change on this file since 83 was 83, checked in by szahmed, 10 years ago

Initial Commit

File size: 2.1 KB
Line 
1// stream_merger.v
2
3// This file was auto-generated as a prototype implementation of a module
4// created in component editor.  It ties off all outputs to ground and
5// ignores all inputs.  It needs to be edited to make it do something
6// useful.
7//
8// This file will not be automatically regenerated.  You should check it in
9// to your version control system if you want to keep it.
10
11`timescale 1 ps / 1 ps
12module stream_merger #(
13                parameter AUTO_CLOCK_CLOCK_RATE = "-1"
14        ) (
15                input  wire        clk,                //     clock.clk
16                input  wire        reset,              //     reset.reset
17                input  wire [7:0]  avs_ctrl_address,     //      ctrl.address
18                input  wire        avs_ctrl_read,        //          .read
19                output wire [31:0] avs_ctrl_readdata,    //          .readdata
20                input  wire        avs_ctrl_write,       //          .write
21                input  wire [31:0] avs_ctrl_writedata,   //          .writedata
22                output wire        avs_ctrl_waitrequest, //          .waitrequest
23                input  wire [31:0] asi_raw_video_data,       // raw_video.data
24                output wire        asi_raw_video_ready,      //          .ready
25                input  wire        asi_raw_video_valid,       //          .valid
26                input  wire [31:0] asi_raw_exg_data,       // raw_exg.data
27                output wire        asi_raw_exg_ready,      //          .ready
28                input  wire        asi_raw_exg_valid,       //          .valid
29                input  wire [31:0] asi_comp_video_data,       // comp_video.data
30                output wire        asi_comp_video_ready,      //          .ready
31                input  wire        asi_comp_video_valid,       //          .valid
32                input  wire [31:0] asi_comp_exg_data,       // comp_exg.data
33                output wire        asi_comp_exg_ready,      //          .ready
34                input  wire        asi_comp_exg_valid,       //          .valid
35                input  wire [31:0] asi_comp_audio_data,       // comp_audio.data
36                output wire        asi_comp_audio_ready,      //          .ready
37                input  wire        asi_comp_audio_valid       //          .valid
38        );
39
40        // TODO: Auto-generated HDL template
41
42        assign avs_ctrl_waitrequest = 1'b0;
43
44        assign avs_ctrl_readdata = 32'b00000000000000000000000000000000;
45
46        assign asi_in0_ready = 1'b0;
47
48endmodule
Note: See TracBrowser for help on using the repository browser.