1 | // synchro.v |
---|
2 | |
---|
3 | // This file was auto-generated as a prototype implementation of a module |
---|
4 | // created in component editor. It ties off all outputs to ground and |
---|
5 | // ignores all inputs. It needs to be edited to make it do something |
---|
6 | // useful. |
---|
7 | // |
---|
8 | // This file will not be automatically regenerated. You should check it in |
---|
9 | // to your version control system if you want to keep it. |
---|
10 | |
---|
11 | `timescale 1 ps / 1 ps |
---|
12 | module synchro ( |
---|
13 | input wire [7:0] avs_s0_address, // s0.address |
---|
14 | input wire avs_s0_read, // .read |
---|
15 | output wire [31:0] avs_s0_readdata, // .readdata |
---|
16 | input wire avs_s0_write, // .write |
---|
17 | input wire [31:0] avs_s0_writedata, // .writedata |
---|
18 | output wire avs_s0_waitrequest, // .waitrequest |
---|
19 | input wire clk, // clock.clk |
---|
20 | input wire reset, // reset.reset |
---|
21 | output wire video_trigger, // conduit_sync.export |
---|
22 | output wire etis_sync_clock, // .export |
---|
23 | output wire etis_sync_clock_start, // .export |
---|
24 | output wire [31:0] aso_ts_data, // ts.data |
---|
25 | input wire aso_ts_ready, // .ready |
---|
26 | output wire aso_ts_valid // .valid |
---|
27 | ); |
---|
28 | |
---|
29 | // TODO: Auto-generated HDL template |
---|
30 | |
---|
31 | assign avs_s0_waitrequest = 1'b0; |
---|
32 | |
---|
33 | assign avs_s0_readdata = 32'b00000000000000000000000000000000; |
---|
34 | |
---|
35 | assign video_trigger = 1'b0; |
---|
36 | |
---|
37 | assign etis_sync_clock_start = 1'b0; |
---|
38 | |
---|
39 | assign etis_sync_clock = 1'b0; |
---|
40 | |
---|
41 | endmodule |
---|