# TCL File Generated by Component Editor 13.1 # Fri Feb 28 17:08:14 CET 2014 # DO NOT MODIFY # # synchro "synchro" v1.0 # 2014.02.28.17:08:14 # # # # request TCL package from ACDS 13.1 # package require -exact qsys 13.1 # # module synchro # set_module_property DESCRIPTION "" set_module_property NAME synchro set_module_property VERSION 1.0 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property GROUP smartEEG set_module_property AUTHOR "" set_module_property DISPLAY_NAME synchro set_module_property INSTANTIATE_IN_SYSTEM_MODULE true set_module_property EDITABLE true set_module_property ANALYZE_HDL AUTO set_module_property REPORT_TO_TALKBACK false set_module_property ALLOW_GREYBOX_GENERATION false # # file sets # add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" set_fileset_property QUARTUS_SYNTH TOP_LEVEL synchro set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false add_fileset_file synchro.v VERILOG PATH synchro.v TOP_LEVEL_FILE # # parameters # # # display items # # # connection point clock # add_interface clock clock end set_interface_property clock clockRate 0 set_interface_property clock ENABLED true set_interface_property clock EXPORT_OF "" set_interface_property clock PORT_NAME_MAP "" set_interface_property clock CMSIS_SVD_VARIABLES "" set_interface_property clock SVD_ADDRESS_GROUP "" add_interface_port clock clk clk Input 1 # # connection point reset # add_interface reset reset end set_interface_property reset associatedClock clock set_interface_property reset synchronousEdges DEASSERT set_interface_property reset ENABLED true set_interface_property reset EXPORT_OF "" set_interface_property reset PORT_NAME_MAP "" set_interface_property reset CMSIS_SVD_VARIABLES "" set_interface_property reset SVD_ADDRESS_GROUP "" add_interface_port reset reset reset Input 1 # # connection point conduit_sync # add_interface conduit_sync conduit end set_interface_property conduit_sync associatedClock clock set_interface_property conduit_sync associatedReset "" set_interface_property conduit_sync ENABLED true set_interface_property conduit_sync EXPORT_OF "" set_interface_property conduit_sync PORT_NAME_MAP "" set_interface_property conduit_sync CMSIS_SVD_VARIABLES "" set_interface_property conduit_sync SVD_ADDRESS_GROUP "" add_interface_port conduit_sync video_trigger export Output 1 add_interface_port conduit_sync etis_sync_clock export Output 1 add_interface_port conduit_sync etis_sync_clock_start export Output 1 # # connection point ctrl # add_interface ctrl avalon end set_interface_property ctrl addressUnits WORDS set_interface_property ctrl associatedClock clock set_interface_property ctrl associatedReset reset set_interface_property ctrl bitsPerSymbol 8 set_interface_property ctrl burstOnBurstBoundariesOnly false set_interface_property ctrl burstcountUnits WORDS set_interface_property ctrl explicitAddressSpan 0 set_interface_property ctrl holdTime 0 set_interface_property ctrl linewrapBursts false set_interface_property ctrl maximumPendingReadTransactions 0 set_interface_property ctrl readLatency 0 set_interface_property ctrl readWaitTime 1 set_interface_property ctrl setupTime 0 set_interface_property ctrl timingUnits Cycles set_interface_property ctrl writeWaitTime 0 set_interface_property ctrl ENABLED true set_interface_property ctrl EXPORT_OF "" set_interface_property ctrl PORT_NAME_MAP "" set_interface_property ctrl CMSIS_SVD_VARIABLES "" set_interface_property ctrl SVD_ADDRESS_GROUP "" add_interface_port ctrl avs_s0_address address Input 8 add_interface_port ctrl avs_s0_read read Input 1 add_interface_port ctrl avs_s0_readdata readdata Output 32 add_interface_port ctrl avs_s0_write write Input 1 add_interface_port ctrl avs_s0_writedata writedata Input 32 add_interface_port ctrl avs_s0_waitrequest waitrequest Output 1 set_interface_assignment ctrl embeddedsw.configuration.isFlash 0 set_interface_assignment ctrl embeddedsw.configuration.isMemoryDevice 0 set_interface_assignment ctrl embeddedsw.configuration.isNonVolatileStorage 0 set_interface_assignment ctrl embeddedsw.configuration.isPrintableDevice 0 # # connection point ts # add_interface ts avalon_streaming start set_interface_property ts associatedClock clock set_interface_property ts associatedReset reset set_interface_property ts dataBitsPerSymbol 8 set_interface_property ts errorDescriptor "" set_interface_property ts firstSymbolInHighOrderBits true set_interface_property ts maxChannel 0 set_interface_property ts readyLatency 0 set_interface_property ts ENABLED true set_interface_property ts EXPORT_OF "" set_interface_property ts PORT_NAME_MAP "" set_interface_property ts CMSIS_SVD_VARIABLES "" set_interface_property ts SVD_ADDRESS_GROUP "" add_interface_port ts aso_ts_data data Output 32 add_interface_port ts aso_ts_ready ready Input 1 add_interface_port ts aso_ts_valid valid Output 1