source: PROJECT_SMART_EEG/trunk/hw/sync_sys/video_codec/video_codec.v @ 84

Last change on this file since 84 was 84, checked in by lambert, 10 years ago

Adding hierarchical subdirectory for every component

File size: 2.0 KB
Line 
1// video_codec.v
2
3// This file was auto-generated as a prototype implementation of a module
4// created in component editor.  It ties off all outputs to ground and
5// ignores all inputs.  It needs to be edited to make it do something
6// useful.
7//
8// This file will not be automatically regenerated.  You should check it in
9// to your version control system if you want to keep it.
10
11`timescale 1 ps / 1 ps
12module video_codec #(
13                parameter AUTO_CLOCK_CLOCK_RATE = "-1"
14        ) (
15                input  wire        clk,                //     clock.clk
16                input  wire        reset,              //     reset.reset
17                input  wire [7:0]  avs_ctrl_address,     //      ctrl.address
18                input  wire        avs_ctrl_read,        //          .read
19                output wire [31:0] avs_ctrl_readdata,    //          .readdata
20                input  wire        avs_ctrl_write,       //          .write
21                input  wire [31:0] avs_ctrl_writedata,   //          .writedata
22                output wire        avs_ctrl_waitrequest, //          .waitrequest
23                input  wire [31:0] asi_raw_video_data,       // raw_video.data
24                output wire        asi_raw_video_ready,      //          .ready
25                input  wire        asi_raw_video_valid,      //          .valid
26                input  wire        aso_raw_video_ds_ready,     //      raw_video_ds.ready
27                output wire        aso_raw_video_ds_valid,     //          .valid
28                output wire [31:0] aso_raw_video_ds_data,       //          .data
29                input  wire        aso_comp_video_ready,     //      comp_video.ready
30                output wire        aso_comp_video_valid,     //          .valid
31                output wire [31:0] aso_comp_video_data,       //          .data
32                input  wire [31:0] asi_ts_data,       //        ts.data
33                output wire        asi_ts_ready,      //           .ready
34                input  wire        asi_ts_valid      //           .valid
35        );
36
37        // TODO: Auto-generated HDL template
38
39        assign avs_s0_waitrequest = 1'b0;
40
41        assign avs_s0_readdata = 32'b00000000000000000000000000000000;
42
43        assign asi_in0_ready = 1'b0;
44
45        assign aso_out0_valid = 1'b0;
46
47        assign aso_out0_data = 32'b00000000000000000000000000000000;
48
49endmodule
Note: See TracBrowser for help on using the repository browser.