Changeset 73 for PROJECT_CORE_MPI


Ignore:
Timestamp:
Jan 6, 2014, 3:17:06 PM (10 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.03/CoreTypes.vhd

    r71 r73  
    1515CONSTANT IADR1 : natural :=3;
    1616CONSTANT CORE_BASE_ADR :natural range 0 to 65535:=4096;
     17CONSTANT WIN_BASE_ADR :natural range 0 to 65535:=CORE_BASE_ADR+4;
    1718CONSTANT CORE_UPPER_ADR : natural range 0 to 255:=16;
    1819CONSTANT CORE_GET_ADR:natural:=CORE_BASE_ADR+526;
     
    2324CONSTANT CORE_WPOST_ADR : natural := CORE_BASE_ADR+556;
    2425CONSTANT CORE_WWAIT_ADR : natural := CORE_BASE_ADR+566;
    25 CONSTANT CORE_SPAWN_ADR : natural := CORE_BASE_ADR+576;
     26CONSTANT CORE_WSYNC_ADR : natural := CORE_BASE_ADR+576;
     27CONSTANT CORE_SPAWN_ADR : natural := CORE_BASE_ADR+586;
    2628CONSTANT CORE_Rank2port_BASE :NATURAL:=52;
    2729CONSTANT CORE_RANK_ADR : NATURAL:=CORE_BASE_ADR+CORE_Rank2Port_Base;
    28 CONSTANT WIN0_ADR :natural :=4;
    29 CONSTANT WIN1_ADR :natural :=14;
    30 CONSTANT WIN2_ADR :natural :=24;
    31 CONSTANT WIN3_ADR :natural :=34;
     30CONSTANT WIN0_ADR :natural :=CORE_BASE_ADR+4;
     31CONSTANT WIN1_ADR :natural :=CORE_BASE_ADR+20;
     32CONSTANT WIN2_ADR :natural :=CORE_BASE_ADR+36;
     33CONSTANT WIN3_ADR :natural :=CORE_BASE_ADR+52;
    3234CONSTANT GETPORTID : std_logic_vector(3 downto 0):="0001";
    3335 
     
    5860type core_s is
    5961record
     62    Rank : natural range 0 to 15; --donne le rang du processus courant
    6063                IntState1 : natural range 0 to 255; --permet de stocker l'état des MAE interne dans les procédures
    6164                IntState2 : natural range 0 to 255;
    6265                WinId :natural range  0 to 255; --stocke le dernier Id utilisé par WinCreate
     66                GPost : std_logic_vector(15 downto 0); --etat de la fenêtre active
     67                GStart : std_logic_vector(15 downto 0);
     68                tmem : memory(0 to 3); --petite mémoire tampon pour stocker les variables statiques des MVPs
    6369end record;
    6470type core_io is
Note: See TracChangeset for help on using the changeset viewer.