Changeset 73 for PROJECT_CORE_MPI/SWITCH_GEN
- Timestamp:
- Jan 6, 2014, 3:17:06 PM (11 years ago)
- File:
-
- 1 edited
Legend:
- Unmodified
- Added
- Removed
-
PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.03/CoreTypes.vhd
r71 r73 15 15 CONSTANT IADR1 : natural :=3; 16 16 CONSTANT CORE_BASE_ADR :natural range 0 to 65535:=4096; 17 CONSTANT WIN_BASE_ADR :natural range 0 to 65535:=CORE_BASE_ADR+4; 17 18 CONSTANT CORE_UPPER_ADR : natural range 0 to 255:=16; 18 19 CONSTANT CORE_GET_ADR:natural:=CORE_BASE_ADR+526; … … 23 24 CONSTANT CORE_WPOST_ADR : natural := CORE_BASE_ADR+556; 24 25 CONSTANT CORE_WWAIT_ADR : natural := CORE_BASE_ADR+566; 25 CONSTANT CORE_SPAWN_ADR : natural := CORE_BASE_ADR+576; 26 CONSTANT CORE_WSYNC_ADR : natural := CORE_BASE_ADR+576; 27 CONSTANT CORE_SPAWN_ADR : natural := CORE_BASE_ADR+586; 26 28 CONSTANT CORE_Rank2port_BASE :NATURAL:=52; 27 29 CONSTANT CORE_RANK_ADR : NATURAL:=CORE_BASE_ADR+CORE_Rank2Port_Base; 28 CONSTANT WIN0_ADR :natural := 4;29 CONSTANT WIN1_ADR :natural := 14;30 CONSTANT WIN2_ADR :natural := 24;31 CONSTANT WIN3_ADR :natural := 34;30 CONSTANT WIN0_ADR :natural :=CORE_BASE_ADR+4; 31 CONSTANT WIN1_ADR :natural :=CORE_BASE_ADR+20; 32 CONSTANT WIN2_ADR :natural :=CORE_BASE_ADR+36; 33 CONSTANT WIN3_ADR :natural :=CORE_BASE_ADR+52; 32 34 CONSTANT GETPORTID : std_logic_vector(3 downto 0):="0001"; 33 35 … … 58 60 type core_s is 59 61 record 62 Rank : natural range 0 to 15; --donne le rang du processus courant 60 63 IntState1 : natural range 0 to 255; --permet de stocker l'état des MAE interne dans les procédures 61 64 IntState2 : natural range 0 to 255; 62 65 WinId :natural range 0 to 255; --stocke le dernier Id utilisé par WinCreate 66 GPost : std_logic_vector(15 downto 0); --etat de la fenêtre active 67 GStart : std_logic_vector(15 downto 0); 68 tmem : memory(0 to 3); --petite mémoire tampon pour stocker les variables statiques des MVPs 63 69 end record; 64 70 type core_io is
Note: See TracChangeset
for help on using the changeset viewer.