Message file "usenglish/ip.msg" wasn't found. 0: (0,0) : 4x8192 u:4 1: (4,0) : 4x8192 u:4 0: (0,0) : 4x8192 u:4 1: (4,0) : 4x8192 u:4 "D:\MPI_HCL\Test_Timer\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 977: Range is empty (null range) "D:\MPI_HCL\Test_Timer\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 977: Assignment ignored "D:\MPI_HCL\Test_Timer\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 978: Range is empty (null range) "D:\MPI_HCL\Test_Timer\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_width.vhd" Line 978: Assignment ignored "D:\MPI_HCL\Test_Timer\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v6_2\blk_mem_gen_prim_wrapper_v6.vhd" Line 510: Net <douta_i[3]> does not have a driver. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <douta> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <rdaddrecc> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_bid> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_bresp> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_rid> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_rdata> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_rresp> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_rdaddrecc> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <sbiterr> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <dbiterr> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_awready> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_wready> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_bvalid> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_arready> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_rlast> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_rvalid> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_sbiterr> of the instance <U0> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/mem8k8.vhd" line 160: Output port <s_axi_dbiterr> of the instance <U0> is unconnected or connected to loadless signal. Input <S_AXI_AWID<3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWADDR<31:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWLEN<7:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWSIZE<2:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWBURST<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_WDATA<7:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_WSTRB<0:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARID<3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARADDR<31:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARLEN<7:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARSIZE<2:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARBURST<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AClk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_ARESETN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_AWVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_WLAST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_WVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_BREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_ARVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_RREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <S_AXI_INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal 'S_AXI_BID', unconnected in block 'blk_mem_gen_v6_2_xst', is tied to its initial value (0000). Signal <S_AXI_BRESP> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal 'S_AXI_RID', unconnected in block 'blk_mem_gen_v6_2_xst', is tied to its initial value (0000). Signal <S_AXI_RDATA> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_RRESP> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_AWREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_WREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_BVALID> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_ARREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_RLAST> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_RVALID> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <S_AXI_DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Input <WEB<0:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <DINB<7:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <RSTA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <RSTB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal <INJECTDBITERR_I> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <INJECTSBITERR_I> is used but never assigned. This sourceless signal will be automatically connected to value GND. Input <REGCEA<0:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEB<0:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd" line 1341: Output port <SBITERR> of the instance <ramloop[0].ram.r> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd" line 1341: Output port <DBITERR> of the instance <ramloop[0].ram.r> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd" line 1341: Output port <SBITERR> of the instance <ramloop[1].ram.r> is unconnected or connected to loadless signal. "d:/mpi_hcl/test_timer/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v6_2/blk_mem_gen_generic_cstr.vhd" line 1341: Output port <DBITERR> of the instance <ramloop[1].ram.r> is unconnected or connected to loadless signal. Signal <RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Input <WEB<0:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <DINB<3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SSRA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SSRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal 'douta_i', unconnected in block 'blk_mem_gen_prim_wrapper_v6_1', is tied to its initial value (0000). Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Input <WEB<0:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <DINB<3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SSRA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SSRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal 'douta_i', unconnected in block 'blk_mem_gen_prim_wrapper_v6_2', is tied to its initial value (0000). Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Input <DOUTA_I<7:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <RDADDRECC_I<12:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <CLKB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SBITERR_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <DBITERR_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Signal <RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.