# # ChangeLog for PROJECT_CORE_MPI/MPI_HCL/TAG/v1.0/NOC # # Generated by Trac 1.2.3 # Aug 19, 2024, 2:27:55 PM Wed, 21 May 2014 09:31:34 GMT rolagamo [138] * PROJECT_CORE_MPI/MPI_HCL/TAG/v1.0 (copied) Ceci est la version 8 bits 16 ports de la plateforme MPI-HCL Tue, 08 Apr 2014 15:45:26 GMT rolagamo [135] * PROJECT_CORE_MPI/MPI_HCL/TRUNK/NOC/Def_Request.vhd (added) * PROJECT_CORE_MPI/MPI_HCL/TRUNK/NOC/SCHEDULER4_4.VHD (modified)