Last change
on this file since 55 was
55,
checked in by meunier, 11 years ago
|
Tried to clean the test_regression directory:
- Code formatting
- Supressed warnings
- Made comprehensible outputs
- Factorized Makefiles
There's still a lot to do (many tests don't pass for either good or bad reasons)
|
File size:
1.2 KB
|
Line | |
---|
1 | |
---|
2 | #include <iostream> |
---|
3 | #include <string> |
---|
4 | |
---|
5 | #include "systemc.h" |
---|
6 | #include "test.h" |
---|
7 | |
---|
8 | |
---|
9 | using namespace std; |
---|
10 | |
---|
11 | |
---|
12 | struct internal_model : sc_module { |
---|
13 | sc_in<int> i; |
---|
14 | sc_out<int> o; |
---|
15 | internal_model(sc_module_name n) : sc_module(n), i("i"), o("o") {} |
---|
16 | }; |
---|
17 | |
---|
18 | |
---|
19 | struct model : sc_module { |
---|
20 | sc_in<int> i1, i2, i3; |
---|
21 | sc_out<int> o1, o2, o3; |
---|
22 | sc_signal<int> r1, r2; |
---|
23 | internal_model internal; |
---|
24 | model(sc_module_name n) : sc_module (n), |
---|
25 | i1("i1"), i2("i2"), i3("i3"), |
---|
26 | o1("o1"), o2("o2"), o3("o3"), |
---|
27 | r1("r1"), r2("r2"), |
---|
28 | internal("internal") { |
---|
29 | internal.o (o3); |
---|
30 | internal.i (i3); |
---|
31 | } |
---|
32 | }; |
---|
33 | |
---|
34 | |
---|
35 | int sc_main (int argc, char ** argv) { |
---|
36 | model m("m"); |
---|
37 | sc_clock clk("clock"); |
---|
38 | sc_signal<int> s1("s1"), s2("s2"), s3("s3"), s4("s4"); |
---|
39 | |
---|
40 | m.i1 (s1); |
---|
41 | m.i2 (s1); |
---|
42 | m.i3 (s1); |
---|
43 | m.o2 (s2); |
---|
44 | m.o3 (s3); |
---|
45 | |
---|
46 | sc_start(sc_time(0, sc_core::SC_NS)); |
---|
47 | |
---|
48 | sc_start(sc_time(1, sc_core::SC_NS)); |
---|
49 | sc_start(sc_time(10, sc_core::SC_NS)); |
---|
50 | |
---|
51 | cerr << "Test OK.\n"; |
---|
52 | |
---|
53 | return 0; |
---|
54 | } |
---|
55 | |
---|
56 | |
---|
57 | /* |
---|
58 | # Local Variables: |
---|
59 | # tab-width: 4; |
---|
60 | # c-basic-offset: 4; |
---|
61 | # c-file-offsets:((innamespace . 0)(inline-open . 0)); |
---|
62 | # indent-tabs-mode: nil; |
---|
63 | # End: |
---|
64 | # |
---|
65 | # vim: filetype=cpp:expandtab:shiftwidth=4:tabstop=4:softtabstop=4 |
---|
66 | */ |
---|
67 | |
---|
Note: See
TracBrowser
for help on using the repository browser.