source: vis_dev/vis-2.1/examples/production_cell/prodcell.mv @ 11

Last change on this file since 11 was 11, checked in by cecile, 13 years ago

Add vis

File size: 266.8 KB
Line 
1# vl2mv model.vl
2# version: 0.2
3# date:    14:05:28 12/30/96 (MST)
4.model ProductionCell
5# I/O ports
6.mv PressReadyToBeLoaded 2 Y N
7.mv ArmLoadedPress 2 Y N
8.mv PressReadyToBeUnLoaded 2 Y N
9.mv ArmUnLoadedPress 2 Y N
10.mv PieceReleasedOnFB 2 Y N
11.mv FBReady 2 Y N
12.mv PieceGrabbedFromDB 2 Y N
13.mv RTOutReady 2 Y N
14.mv PieceGrabbedFromFB 2 Y N
15.mv PieceOutFB 2 Y N
16.mv PieceOutArm 2 Y N
17.mv PieceGrabbedFromRT 2 Y N
18.mv PieceOutDB 2 Y N
19.mv DBReady 2 Y N
20.subckt TravellingCraneSet TC PieceOutDB=PieceOutDB  FBReady=FBReady  PieceGrabbedFromDB=PieceGrabbedFromDB  PieceReleasedOnFB=PieceReleasedOnFB 
21.subckt DepositBeltSet DB PieceGrabbedFromDB=PieceGrabbedFromDB  PieceOutArm=PieceOutArm  PieceOutDB=PieceOutDB  DBReady=DBReady 
22.subckt FeedBeltSet FB PieceGrabbedFromFB=PieceGrabbedFromFB  PieceReleasedOnFB=PieceReleasedOnFB  FBReady=FBReady  PieceOutFB=PieceOutFB 
23.subckt RotaryTableSet RT PieceOutFB=PieceOutFB  PieceGrabbedFromRT=PieceGrabbedFromRT  PieceGrabbedFromFB=PieceGrabbedFromFB  RTOutReady=RTOutReady 
24.subckt PressSet PR ArmLoadedPress=ArmLoadedPress  ArmUnLoadedPress=ArmUnLoadedPress  PressReadyToBeLoaded=PressReadyToBeLoaded  PressReadyToBeUnLoaded=PressReadyToBeUnLoaded 
25.subckt ArmSet AR DBReady=DBReady  PressReadyToBeUnLoaded=PressReadyToBeUnLoaded  PressReadyToBeLoaded=PressReadyToBeLoaded  RTOutReady=RTOutReady  PieceOutArm=PieceOutArm  ArmUnLoadedPress=ArmUnLoadedPress  ArmLoadedPress=ArmLoadedPress  PieceGrabbedFromRT=PieceGrabbedFromRT 
26# conflict arbitrators
27# non-blocking assignments
28# latches
29# quasi-continuous assignment
30.end
31
32
33.model TravellingCraneSet
34# I/O ports
35.outputs PieceReleasedOnFB
36.outputs PieceGrabbedFromDB
37.inputs FBReady
38.inputs PieceOutDB
39.mv VerticalMove 3 GoUp GoDown Stop
40.mv VerticalPos 3 UpMost DBHight FBHight
41.mv PieceReleasedOnFB 2 Y N
42.mv PieceGrabbedFromDB 2 Y N
43.mv FBReady 2 Y N
44.mv CraneOnTheRight 2 Y N
45.mv CraneOnTheLeft 2 Y N
46.mv HorizontalMove 3 GoLeft GoRight Stop
47.mv PieceOutDB 2 Y N
48.subckt TravellingCrane Crane HorizontalMove=HorizontalMove  VerticalMove=VerticalMove  CraneOnTheLeft=CraneOnTheLeft  CraneOnTheRight=CraneOnTheRight  VerticalPos=VerticalPos 
49.subckt TravellingCraneCNTR CraneCNTR FBReady=FBReady  PieceOutDB=PieceOutDB  CraneOnTheLeft=CraneOnTheLeft  CraneOnTheRight=CraneOnTheRight  VerticalPos=VerticalPos  HorizontalMove=HorizontalMove  VerticalMove=VerticalMove  PieceReleasedOnFB=PieceReleasedOnFB  PieceGrabbedFromDB=PieceGrabbedFromDB 
50# conflict arbitrators
51# non-blocking assignments
52# latches
53# quasi-continuous assignment
54.end
55
56
57.model DepositBeltSet
58# I/O ports
59.inputs PieceGrabbedFromDB
60.outputs PieceOutDB
61.inputs PieceOutArm
62.outputs DBReady
63.mv PieceGrabbedFromDB 2 Y N
64.mv DBelt3 2 E F
65.mv DBelt2 2 E F
66.mv DBelt1 2 E F
67.mv DBelt0 2 E F
68.mv PieceOutDB 2 Y N
69.mv PieceOutArm 2 Y N
70.mv DBMotorSwitch 2 on off
71.mv DBReady 2 Y N
72.subckt DepositBelt DBelt DBMotorSwitch=DBMotorSwitch  PieceOutArm=PieceOutArm  PieceGrabbedFromDB=PieceGrabbedFromDB  DBReady=DBReady  DBelt0=DBelt0  DBelt1=DBelt1  DBelt2=DBelt2  DBelt3=DBelt3 
73.subckt DepositBeltCNTR DBeltCNTR DBelt0=DBelt0  DBelt1=DBelt1  DBelt2=DBelt2  DBelt3=DBelt3  PieceGrabbedFromDB=PieceGrabbedFromDB  PieceOutArm=PieceOutArm  DBMotorSwitch=DBMotorSwitch  DBReady=DBReady  PieceOutDB=PieceOutDB 
74# conflict arbitrators
75# non-blocking assignments
76# latches
77# quasi-continuous assignment
78.end
79
80
81.model FeedBeltSet
82# I/O ports
83.outputs FBReady
84.inputs PieceReleasedOnFB
85.outputs PieceOutFB
86.inputs PieceGrabbedFromFB
87.mv FBelt3 2 E F
88.mv FBelt2 2 E F
89.mv FBReady 2 Y N
90.mv PieceReleasedOnFB 2 Y N
91.mv FBelt1 2 E F
92.mv FBelt0 2 E F
93.mv FBMotorSwitch 2 on off
94.mv PieceOutFB 2 Y N
95.mv PieceGrabbedFromFB 2 Y N
96.subckt FeedBelt FBelt FBMotorSwitch=FBMotorSwitch  PieceReleasedOnFB=PieceReleasedOnFB  PieceGrabbedFromFB=PieceGrabbedFromFB  FBReady=FBReady  FBelt0=FBelt0  FBelt1=FBelt1  FBelt2=FBelt2  FBelt3=FBelt3 
97.subckt FeedBeltCNTR FBeltCNTR FBelt0=FBelt0  FBelt1=FBelt1  FBelt2=FBelt2  FBelt3=FBelt3  PieceGrabbedFromFB=PieceGrabbedFromFB  PieceReleasedOnFB=PieceReleasedOnFB  FBMotorSwitch=FBMotorSwitch  FBReady=FBReady  PieceOutFB=PieceOutFB 
98# conflict arbitrators
99# non-blocking assignments
100# latches
101# quasi-continuous assignment
102.end
103
104
105.model RotaryTableSet
106# I/O ports
107.outputs RTOutReady
108.outputs PieceGrabbedFromFB
109.inputs PieceOutFB
110.inputs PieceGrabbedFromRT
111.mv RTOnTop 2 Y N
112.mv RTOnArm 2 Y N
113.mv RTOnFB 2 Y N
114.mv RTOutReady 2 Y N
115.mv RTOnBottom 2 Y N
116.mv RTVerticalMotor 3 GoUp GoDown Stop
117.mv RTRotaryMotor 3 CWise Stop CCWise
118.mv PieceGrabbedFromFB 2 Y N
119.mv PieceOutFB 2 Y N
120.mv PieceGrabbedFromRT 2 Y N
121.subckt RotaryTable RTable RTRotaryMotor=RTRotaryMotor  RTVerticalMotor=RTVerticalMotor  RTOnFB=RTOnFB  RTOnArm=RTOnArm  RTOnTop=RTOnTop  RTOnBottom=RTOnBottom 
122.subckt RotaryTableCNTR RTableCNTR PieceOutFB=PieceOutFB  PieceGrabbedFromRT=PieceGrabbedFromRT  RTOnFB=RTOnFB  RTOnArm=RTOnArm  RTOnTop=RTOnTop  RTOnBottom=RTOnBottom  RTRotaryMotor=RTRotaryMotor  RTVerticalMotor=RTVerticalMotor  PieceGrabbedFromFB=PieceGrabbedFromFB  RTOutReady=RTOutReady 
123# conflict arbitrators
124# non-blocking assignments
125# latches
126# quasi-continuous assignment
127.end
128
129
130.model PressSet
131# I/O ports
132.outputs PressReadyToBeUnLoaded
133.outputs PressReadyToBeLoaded
134.inputs ArmLoadedPress
135.inputs ArmUnLoadedPress
136.mv PressReadyToBeUnLoaded 2 Y N
137.mv PressReadyToBeLoaded 2 Y N
138.mv ArmLoadedPress 2 Y N
139.mv ArmUnLoadedPress 2 Y N
140.mv PressMotor 3 GoUp GoDown Stop
141.mv PressPosition 3 Top Mid Bot
142.subckt Press Pr PressMotor=PressMotor  PressPosition=PressPosition 
143.subckt PressCNTR PrCNTR PressPosition=PressPosition  ArmLoadedPress=ArmLoadedPress  ArmUnLoadedPress=ArmUnLoadedPress  PressMotor=PressMotor  PressReadyToBeLoaded=PressReadyToBeLoaded  PressReadyToBeUnLoaded=PressReadyToBeUnLoaded 
144# conflict arbitrators
145# non-blocking assignments
146# latches
147# quasi-continuous assignment
148.end
149
150
151.model ArmSet
152# I/O ports
153.outputs ArmLoadedPress
154.inputs PressReadyToBeLoaded
155.inputs PressReadyToBeUnLoaded
156.outputs ArmUnLoadedPress
157.inputs RTOutReady
158.outputs PieceGrabbedFromRT
159.outputs PieceOutArm
160.inputs DBReady
161.mv RAExtendUnLoadArm 3 Extend Retract Stop
162.mv RAArmOverDB 2 Y N
163.mv RAArmOverLoadedPress 2 Y N
164.mv RAUnLoadArmExtended 2 Y N
165.mv ArmLoadedPress 2 Y N
166.mv PressReadyToBeLoaded 2 Y N
167.mv PressReadyToBeUnLoaded 2 Y N
168.mv RARotaryMotor 3 CWise Stop CCWise
169.mv ArmUnLoadedPress 2 Y N
170.mv RAArmOverUnLoadedPress 2 Y N
171.mv RALoadArmExtended 2 Y N
172.mv RTOutReady 2 Y N
173.mv RAExtendLoadArm 3 Extend Retract Stop
174.mv RAArmOverRT 2 Y N
175.mv RALoadArmRetracted 2 Y N
176.mv PieceGrabbedFromRT 2 Y N
177.mv PieceOutArm 2 Y N
178.mv RAUnLoadArmRetracted 2 Y N
179.mv DBReady 2 Y N
180.subckt RobotArm Arm RAExtendLoadArm=RAExtendLoadArm  RAExtendUnLoadArm=RAExtendUnLoadArm  RARotaryMotor=RARotaryMotor  RALoadArmExtended=RALoadArmExtended  RALoadArmRetracted=RALoadArmRetracted  RAUnLoadArmExtended=RAUnLoadArmExtended  RAUnLoadArmRetracted=RAUnLoadArmRetracted  RAArmOverRT=RAArmOverRT  RAArmOverUnLoadedPress=RAArmOverUnLoadedPress  RAArmOverLoadedPress=RAArmOverLoadedPress  RAArmOverDB=RAArmOverDB 
181.subckt RobotArmCNTR ACNTR RALoadArmExtended=RALoadArmExtended  RALoadArmRetracted=RALoadArmRetracted  RAUnLoadArmExtended=RAUnLoadArmExtended  RAUnLoadArmRetracted=RAUnLoadArmRetracted  RAArmOverRT=RAArmOverRT  RAArmOverUnLoadedPress=RAArmOverUnLoadedPress  RAArmOverLoadedPress=RAArmOverLoadedPress  RAArmOverDB=RAArmOverDB  DBReady=DBReady  PressReadyToBeUnLoaded=PressReadyToBeUnLoaded  PressReadyToBeLoaded=PressReadyToBeLoaded  RTOutReady=RTOutReady  RAExtendLoadArm=RAExtendLoadArm  RAExtendUnLoadArm=RAExtendUnLoadArm  RARotaryMotor=RARotaryMotor  PieceOutArm=PieceOutArm  ArmUnLoadedPress=ArmUnLoadedPress  ArmLoadedPress=ArmLoadedPress  PieceGrabbedFromRT=PieceGrabbedFromRT 
182# conflict arbitrators
183# non-blocking assignments
184# latches
185# quasi-continuous assignment
186.end
187
188
189.model TravellingCrane
190# I/O ports
191.inputs VerticalMove
192.outputs VerticalPos
193.outputs CraneOnTheRight
194.outputs CraneOnTheLeft
195.inputs HorizontalMove
196.mv VerticalMove 3 GoUp GoDown Stop
197.mv VerticalPos 3 UpMost DBHight FBHight
198.mv CraneOnTheRight 2 Y N
199.mv CraneOnTheLeft 2 Y N
200.mv HorizontalMove 3 GoLeft GoRight Stop
201.mv HorizontalPos 3 OverFB Middle OverDB
202# assign CraneOnTheLeft  = (HorizontalPos  == OverFB ) ? 0 : 1
203.mv CraneOnTheLeft$raw_n0 2 Y N
204.mv _n2 3 OverFB Middle OverDB
205.names _n2
206OverFB
207# HorizontalPos  == 0
208.names HorizontalPos _n2 _n1
209.def 0
210- =HorizontalPos 1
211.mv _n3 2 Y N
212.names _n3
213Y
214.mv _n4 2 Y N
215.names _n4
216N
217# (HorizontalPos  == 0) ? 0 : 1
218.mv _n5 2 Y N
219.names _n3 _n4 _n1 _n5
220- - 0 =_n4
221- - 1 =_n3
222.names _n5 CraneOnTheLeft$raw_n0
223- =_n5
224# assign CraneOnTheRight  = (HorizontalPos  == OverDB ) ? 0 : 1
225.mv CraneOnTheRight$raw_n6 2 Y N
226.mv _n8 3 OverFB Middle OverDB
227.names _n8
228OverDB
229# HorizontalPos  == 2
230.names HorizontalPos _n8 _n7
231.def 0
232- =HorizontalPos 1
233.mv _n9 2 Y N
234.names _n9
235Y
236.mv _na 2 Y N
237.names _na
238N
239# (HorizontalPos  == 2) ? 0 : 1
240.mv _nb 2 Y N
241.names _n9 _na _n7 _nb
242- - 0 =_na
243- - 1 =_n9
244.names _nb CraneOnTheRight$raw_n6
245- =_nb
246# HorizontalPos  = $NDset ( 1,2,0 )
247.mv HorizontalPos$raw_nc 3 OverFB Middle OverDB
248.mv HorizontalPos$raw_nc$initial$_nd 3 OverFB Middle OverDB
249.names HorizontalPos$raw_nc$initial$_nd
250Middle
251OverDB
252OverFB
253.names HorizontalPos$raw_nc$initial$_nd HorizontalPos$raw_nc
254- =HorizontalPos$raw_nc$initial$_nd
255# VerticalPos  = 0
256.mv VerticalPos$raw_ne 3 UpMost DBHight FBHight
257.names VerticalPos$raw_ne
258UpMost
259# non-blocking assignments for initial
260.mv _n10 3 GoLeft GoRight Stop
261.names _n10
262GoLeft
263# HorizontalMove  == 0
264.names HorizontalMove _n10 _nf
265.def 0
266- =HorizontalMove 1
267.names _nf _n11
268- =_nf
269.mv _n13 3 OverFB Middle OverDB
270.names _n13
271Middle
272# HorizontalPos  == 1
273.names HorizontalPos _n13 _n12
274.def 0
275- =HorizontalPos 1
276.names _n12 _n14
277- =_n12
278# HorizontalPos  = 0
279.mv HorizontalPos$_n12_n15$true 3 OverFB Middle OverDB
280.names HorizontalPos$_n12_n15$true
281OverFB
282.mv _n17 3 OverFB Middle OverDB
283.names _n17
284OverDB
285# HorizontalPos  == 2
286.names HorizontalPos _n17 _n16
287.def 0
288- =HorizontalPos 1
289.names _n16 _n18
290- =_n16
291# HorizontalPos  = 1
292.mv HorizontalPos$_n16_n19$true 3 OverFB Middle OverDB
293.names HorizontalPos$_n16_n19$true
294Middle
295# if/else (HorizontalPos  == 2)
296.mv HorizontalPos$_n16$raw_n1c 3 OverFB Middle OverDB
297.names HorizontalPos$_n16_n19$true HorizontalPos _n16 HorizontalPos$_n16$raw_n1c
298- - 0 =HorizontalPos
299- - 1 =HorizontalPos$_n16_n19$true
300# if/else (HorizontalPos  == 1)
301.mv HorizontalPos$_n12$raw_n1e 3 OverFB Middle OverDB
302.names HorizontalPos$_n12_n15$true HorizontalPos$_n16$raw_n1c _n12 HorizontalPos$_n12$raw_n1e
303- - 0 =HorizontalPos$_n16$raw_n1c
304- - 1 =HorizontalPos$_n12_n15$true
305# if/else (HorizontalMove  == 0)
306.mv HorizontalPos$_nf$raw_n23 3 OverFB Middle OverDB
307.names HorizontalPos$_n12$raw_n1e HorizontalPos _nf HorizontalPos$_nf$raw_n23
308- - 0 =HorizontalPos
309- - 1 =HorizontalPos$_n12$raw_n1e
310.mv _n25 3 GoLeft GoRight Stop
311.names _n25
312GoRight
313# HorizontalMove  == 1
314.names HorizontalMove _n25 _n24
315.def 0
316- =HorizontalMove 1
317.names _n24 _n26
318- =_n24
319.mv _n28 3 OverFB Middle OverDB
320.names _n28
321Middle
322# HorizontalPos  == 1
323.names HorizontalPos$_nf$raw_n23 _n28 _n27
324.def 0
325- =HorizontalPos$_nf$raw_n23 1
326.names _n27 _n29
327- =_n27
328# HorizontalPos  = 2
329.mv HorizontalPos$_n27_n2a$true 3 OverFB Middle OverDB
330.names HorizontalPos$_n27_n2a$true
331OverDB
332.mv _n2c 3 OverFB Middle OverDB
333.names _n2c
334OverFB
335# HorizontalPos  == 0
336.names HorizontalPos$_nf$raw_n23 _n2c _n2b
337.def 0
338- =HorizontalPos$_nf$raw_n23 1
339.names _n2b _n2d
340- =_n2b
341# HorizontalPos  = 1
342.mv HorizontalPos$_n2b_n2e$true 3 OverFB Middle OverDB
343.names HorizontalPos$_n2b_n2e$true
344Middle
345# if/else (HorizontalPos  == 0)
346.mv HorizontalPos$_n2b$raw_n2f 3 OverFB Middle OverDB
347.names HorizontalPos$_n2b_n2e$true HorizontalPos$_nf$raw_n23 _n2b HorizontalPos$_n2b$raw_n2f
348- - 0 =HorizontalPos$_nf$raw_n23
349- - 1 =HorizontalPos$_n2b_n2e$true
350# if/else (HorizontalPos  == 1)
351.mv HorizontalPos$_n27$raw_n33 3 OverFB Middle OverDB
352.names HorizontalPos$_n27_n2a$true HorizontalPos$_n2b$raw_n2f _n27 HorizontalPos$_n27$raw_n33
353- - 0 =HorizontalPos$_n2b$raw_n2f
354- - 1 =HorizontalPos$_n27_n2a$true
355# if/else (HorizontalMove  == 1)
356.mv HorizontalPos$_n24$raw_n37 3 OverFB Middle OverDB
357.names HorizontalPos$_n27$raw_n33 HorizontalPos$_nf$raw_n23 _n24 HorizontalPos$_n24$raw_n37
358- - 0 =HorizontalPos$_nf$raw_n23
359- - 1 =HorizontalPos$_n27$raw_n33
360.mv _n3c 3 GoUp GoDown Stop
361.names _n3c
362GoUp
363# VerticalMove  == 0
364.names VerticalMove _n3c _n3b
365.def 0
366- =VerticalMove 1
367.names _n3b _n3d
368- =_n3b
369.mv _n3f 3 UpMost DBHight FBHight
370.names _n3f
371DBHight
372# VerticalPos  == 1
373.names VerticalPos _n3f _n3e
374.def 0
375- =VerticalPos 1
376.names _n3e _n40
377- =_n3e
378# VerticalPos  = 0
379.mv VerticalPos$_n3e_n41$true 3 UpMost DBHight FBHight
380.names VerticalPos$_n3e_n41$true
381UpMost
382.mv _n43 3 UpMost DBHight FBHight
383.names _n43
384FBHight
385# VerticalPos  == 2
386.names VerticalPos _n43 _n42
387.def 0
388- =VerticalPos 1
389.names _n42 _n44
390- =_n42
391# VerticalPos  = 1
392.mv VerticalPos$_n42_n45$true 3 UpMost DBHight FBHight
393.names VerticalPos$_n42_n45$true
394DBHight
395# if/else (VerticalPos  == 2)
396.mv VerticalPos$_n42$raw_n4a 3 UpMost DBHight FBHight
397.names VerticalPos$_n42_n45$true VerticalPos _n42 VerticalPos$_n42$raw_n4a
398- - 0 =VerticalPos
399- - 1 =VerticalPos$_n42_n45$true
400# if/else (VerticalPos  == 1)
401.mv VerticalPos$_n3e$raw_n4f 3 UpMost DBHight FBHight
402.names VerticalPos$_n3e_n41$true VerticalPos$_n42$raw_n4a _n3e VerticalPos$_n3e$raw_n4f
403- - 0 =VerticalPos$_n42$raw_n4a
404- - 1 =VerticalPos$_n3e_n41$true
405# if/else (VerticalMove  == 0)
406.mv VerticalPos$_n3b$raw_n59 3 UpMost DBHight FBHight
407.names VerticalPos$_n3e$raw_n4f VerticalPos _n3b VerticalPos$_n3b$raw_n59
408- - 0 =VerticalPos
409- - 1 =VerticalPos$_n3e$raw_n4f
410.mv _n5d 3 GoUp GoDown Stop
411.names _n5d
412GoDown
413# VerticalMove  == 1
414.names VerticalMove _n5d _n5c
415.def 0
416- =VerticalMove 1
417.names _n5c _n5e
418- =_n5c
419.mv _n60 3 UpMost DBHight FBHight
420.names _n60
421UpMost
422# VerticalPos  == 0
423.names VerticalPos$_n3b$raw_n59 _n60 _n5f
424.def 0
425- =VerticalPos$_n3b$raw_n59 1
426.names _n5f _n61
427- =_n5f
428# VerticalPos  = 1
429.mv VerticalPos$_n5f_n62$true 3 UpMost DBHight FBHight
430.names VerticalPos$_n5f_n62$true
431DBHight
432.mv _n64 3 UpMost DBHight FBHight
433.names _n64
434DBHight
435# VerticalPos  == 1
436.names VerticalPos$_n3b$raw_n59 _n64 _n63
437.def 0
438- =VerticalPos$_n3b$raw_n59 1
439.names _n63 _n65
440- =_n63
441# VerticalPos  = 2
442.mv VerticalPos$_n63_n66$true 3 UpMost DBHight FBHight
443.names VerticalPos$_n63_n66$true
444FBHight
445# if/else (VerticalPos  == 1)
446.mv VerticalPos$_n63$raw_n67 3 UpMost DBHight FBHight
447.names VerticalPos$_n63_n66$true VerticalPos$_n3b$raw_n59 _n63 VerticalPos$_n63$raw_n67
448- - 0 =VerticalPos$_n3b$raw_n59
449- - 1 =VerticalPos$_n63_n66$true
450# if/else (VerticalPos  == 0)
451.mv VerticalPos$_n5f$raw_n6f 3 UpMost DBHight FBHight
452.names VerticalPos$_n5f_n62$true VerticalPos$_n63$raw_n67 _n5f VerticalPos$_n5f$raw_n6f
453- - 0 =VerticalPos$_n63$raw_n67
454- - 1 =VerticalPos$_n5f_n62$true
455# if/else (VerticalMove  == 1)
456.mv VerticalPos$_n5c$raw_n77 3 UpMost DBHight FBHight
457.names VerticalPos$_n5f$raw_n6f VerticalPos$_n3b$raw_n59 _n5c VerticalPos$_n5c$raw_n77
458- - 0 =VerticalPos$_n3b$raw_n59
459- - 1 =VerticalPos$_n5f$raw_n6f
460# conflict arbitrators
461.names _n3d _n40 _n44 _n5e _n61 _n65 _n7f
462.def 0
463 1 1 - - - - 1
464 1 0 1 - - - 1
465 - - - 1 1 - 1
466 - - - 1 0 1 1
467.mv _n80 3 UpMost DBHight FBHight
468.names _n7f VerticalPos$_n5c$raw_n77 VerticalPos _n80
4691 - - =VerticalPos$_n5c$raw_n77
4700 - - =VerticalPos
471.names CraneOnTheRight$raw_n6  CraneOnTheRight
472- =CraneOnTheRight$raw_n6
473.names CraneOnTheLeft$raw_n0  CraneOnTheLeft
474- =CraneOnTheLeft$raw_n0
475.names _n11 _n14 _n18 _n26 _n29 _n2d _n87
476.def 0
477 1 1 - - - - 1
478 1 0 1 - - - 1
479 - - - 1 1 - 1
480 - - - 1 0 1 1
481.mv _n88 3 OverFB Middle OverDB
482.names _n87 HorizontalPos$_n24$raw_n37 HorizontalPos _n88
4831 - - =HorizontalPos$_n24$raw_n37
4840 - - =HorizontalPos
485# non-blocking assignments
486# latches
487.r VerticalPos$raw_ne VerticalPos
488- =VerticalPos$raw_ne
489.latch _n80 VerticalPos
490.r HorizontalPos$raw_nc HorizontalPos
491- =HorizontalPos$raw_nc
492.latch _n88 HorizontalPos
493# quasi-continuous assignment
494.end
495
496
497.model TravellingCraneCNTR
498# I/O ports
499.outputs VerticalMove
500.outputs PieceGrabbedFromDB
501.outputs PieceReleasedOnFB
502.inputs VerticalPos
503.inputs FBReady
504.inputs CraneOnTheRight
505.inputs CraneOnTheLeft
506.outputs HorizontalMove
507.inputs PieceOutDB
508.mv VerticalMove 3 GoUp GoDown Stop
509.mv PieceGrabbedFromDB 2 Y N
510.mv PieceReleasedOnFB 2 Y N
511.mv VerticalPos 3 UpMost DBHight FBHight
512.mv FBReady 2 Y N
513.mv CraneOnTheRight 2 Y N
514.mv CraneOnTheLeft 2 Y N
515.mv HorizontalMove 3 GoLeft GoRight Stop
516.mv PieceOutDB 2 Y N
517.mv Grip 2 Grab Free
518# HorizontalMove  = 2
519.mv HorizontalMove$raw_n8f 3 GoLeft GoRight Stop
520.names HorizontalMove$raw_n8f
521Stop
522# VerticalMove  = 2
523.mv VerticalMove$raw_n90 3 GoUp GoDown Stop
524.names VerticalMove$raw_n90
525Stop
526# Grip  = 1
527.mv Grip$raw_n91 2 Grab Free
528.names Grip$raw_n91
529Free
530# PieceReleasedOnFB  = 1
531.mv PieceReleasedOnFB$raw_n92 2 Y N
532.names PieceReleasedOnFB$raw_n92
533N
534# PieceGrabbedFromDB  = 1
535.mv PieceGrabbedFromDB$raw_n93 2 Y N
536.names PieceGrabbedFromDB$raw_n93
537N
538# non-blocking assignments for initial
539.mv _n96 2 Grab Free
540.names _n96
541Grab
542.names Grip _n96 _n95
543.def 0
544- =Grip 1
545.names _n95  _n94
5461 1
5470 0
548.mv _n99 3 UpMost DBHight FBHight
549.names _n99
550UpMost
551.names VerticalPos _n99 _n98
552.def 0
553- =VerticalPos 1
554.names _n98  _n97
5551 1
5560 0
557# Grip  = 0
558.mv Grip$_n97_n9a$true 2 Grab Free
559.names Grip$_n97_n9a$true
560Grab
561.mv _n9c 2 Y N
562.names _n9c
563Y
564# CraneOnTheLeft  == 0
565.names CraneOnTheLeft _n9c _n9b
566.def 0
567- =CraneOnTheLeft 1
568.names _n9b _n9d
569- =_n9b
570# HorizontalMove  = 2
571.mv HorizontalMove$_n9b_n9e$true 3 GoLeft GoRight Stop
572.names HorizontalMove$_n9b_n9e$true
573Stop
574# VerticalMove  = 1
575.mv VerticalMove$_n9b_n9f$true 3 GoUp GoDown Stop
576.names VerticalMove$_n9b_n9f$true
577GoDown
578.mv _na1 2 Y N
579.names _na1
580N
581# CraneOnTheRight  == 1
582.names CraneOnTheRight _na1 _na0
583.def 0
584- =CraneOnTheRight 1
585.mv _na3 2 Y N
586.names _na3
587N
588# CraneOnTheLeft  == 1
589.names CraneOnTheLeft _na3 _na2
590.def 0
591- =CraneOnTheLeft 1
592# CraneOnTheRight  == 1 && CraneOnTheLeft  == 1
593.names _na0 _na2 _na4
594.def 0
5951 1 1
596.names _na4 _na5
597- =_na4
598.mv _na7 3 GoLeft GoRight Stop
599.names _na7
600GoLeft
601# HorizontalMove  == 0
602.names HorizontalMove _na7 _na6
603.def 0
604- =HorizontalMove 1
605.names _na6 _na8
606- =_na6
607# HorizontalMove  = 2
608.mv HorizontalMove$_na6_na9$true 3 GoLeft GoRight Stop
609.names HorizontalMove$_na6_na9$true
610Stop
611# VerticalMove  = 1
612.mv VerticalMove$_na6_naa$true 3 GoUp GoDown Stop
613.names VerticalMove$_na6_naa$true
614GoDown
615# HorizontalMove  = 0
616.mv HorizontalMove$_na6_nab$false 3 GoLeft GoRight Stop
617.names HorizontalMove$_na6_nab$false
618GoLeft
619# VerticalMove  = 2
620.mv VerticalMove$_na6_nac$false 3 GoUp GoDown Stop
621.names VerticalMove$_na6_nac$false
622Stop
623# if/else (HorizontalMove  == 0)
624.mv VerticalMove$_na6$raw_nb0 3 GoUp GoDown Stop
625.names VerticalMove$_na6_naa$true VerticalMove$_na6_nac$false _na6 VerticalMove$_na6$raw_nb0
626- - 0 =VerticalMove$_na6_nac$false
627- - 1 =VerticalMove$_na6_naa$true
628.mv HorizontalMove$_na6$raw_nb1 3 GoLeft GoRight Stop
629.names HorizontalMove$_na6_na9$true HorizontalMove$_na6_nab$false _na6 HorizontalMove$_na6$raw_nb1
630- - 0 =HorizontalMove$_na6_nab$false
631- - 1 =HorizontalMove$_na6_na9$true
632# HorizontalMove  = 0
633.mv HorizontalMove$_na4_nb9$false 3 GoLeft GoRight Stop
634.names HorizontalMove$_na4_nb9$false
635GoLeft
636# VerticalMove  = 2
637.mv VerticalMove$_na4_nba$false 3 GoUp GoDown Stop
638.names VerticalMove$_na4_nba$false
639Stop
640# if/else (CraneOnTheRight  == 1 && CraneOnTheLeft  == 1)
641.mv VerticalMove$_na4$raw_nbe 3 GoUp GoDown Stop
642.names VerticalMove$_na6$raw_nb0 VerticalMove$_na4_nba$false _na4 VerticalMove$_na4$raw_nbe
643- - 0 =VerticalMove$_na4_nba$false
644- - 1 =VerticalMove$_na6$raw_nb0
645.mv HorizontalMove$_na4$raw_nbf 3 GoLeft GoRight Stop
646.names HorizontalMove$_na6$raw_nb1 HorizontalMove$_na4_nb9$false _na4 HorizontalMove$_na4$raw_nbf
647- - 0 =HorizontalMove$_na4_nb9$false
648- - 1 =HorizontalMove$_na6$raw_nb1
649# if/else (CraneOnTheLeft  == 0)
650.mv VerticalMove$_n9b$raw_nca 3 GoUp GoDown Stop
651.names VerticalMove$_n9b_n9f$true VerticalMove$_na4$raw_nbe _n9b VerticalMove$_n9b$raw_nca
652- - 0 =VerticalMove$_na4$raw_nbe
653- - 1 =VerticalMove$_n9b_n9f$true
654.mv HorizontalMove$_n9b$raw_ncb 3 GoLeft GoRight Stop
655.names HorizontalMove$_n9b_n9e$true HorizontalMove$_na4$raw_nbf _n9b HorizontalMove$_n9b$raw_ncb
656- - 0 =HorizontalMove$_na4$raw_nbf
657- - 1 =HorizontalMove$_n9b_n9e$true
658.mv _nd5 3 UpMost DBHight FBHight
659.names _nd5
660DBHight
661.names VerticalPos _nd5 _nd4
662.def 0
663- =VerticalPos 1
664.names _nd4  _nd3
6651 1
6660 0
667.mv _nd7 2 Y N
668.names _nd7
669Y
670# CraneOnTheLeft  == 0
671.names CraneOnTheLeft _nd7 _nd6
672.def 0
673- =CraneOnTheLeft 1
674.names _nd6 _nd8
675- =_nd6
676.mv _nda 3 GoUp GoDown Stop
677.names _nda
678GoDown
679# VerticalMove  == 1
680.names VerticalMove _nda _nd9
681.def 0
682- =VerticalMove 1
683.names _nd9 _ndb
684- =_nd9
685.mv _ndd 2 Y N
686.names _ndd
687Y
688# FBReady  == 0
689.names FBReady _ndd _ndc
690.def 0
691- =FBReady 1
692.names _ndc _nde
693- =_ndc
694# Grip  = 1
695.mv Grip$_ndc_ndf$true 2 Grab Free
696.names Grip$_ndc_ndf$true
697Free
698# HorizontalMove  = 2
699.mv HorizontalMove$_ndc_ne0$true 3 GoLeft GoRight Stop
700.names HorizontalMove$_ndc_ne0$true
701Stop
702# VerticalMove  = 0
703.mv VerticalMove$_ndc_ne1$true 3 GoUp GoDown Stop
704.names VerticalMove$_ndc_ne1$true
705GoUp
706# PieceReleasedOnFB  = 0
707.mv PieceReleasedOnFB$_ndc_ne2$true 2 Y N
708.names PieceReleasedOnFB$_ndc_ne2$true
709Y
710# Grip  = 0
711.mv Grip$_ndc_ne3$false 2 Grab Free
712.names Grip$_ndc_ne3$false
713Grab
714# HorizontalMove  = 2
715.mv HorizontalMove$_ndc_ne4$false 3 GoLeft GoRight Stop
716.names HorizontalMove$_ndc_ne4$false
717Stop
718# VerticalMove  = 2
719.mv VerticalMove$_ndc_ne5$false 3 GoUp GoDown Stop
720.names VerticalMove$_ndc_ne5$false
721Stop
722# if/else (FBReady  == 0)
723.mv VerticalMove$_ndc$raw_nea 3 GoUp GoDown Stop
724.names VerticalMove$_ndc_ne1$true VerticalMove$_ndc_ne5$false _ndc VerticalMove$_ndc$raw_nea
725- - 0 =VerticalMove$_ndc_ne5$false
726- - 1 =VerticalMove$_ndc_ne1$true
727.mv HorizontalMove$_ndc$raw_nec 3 GoLeft GoRight Stop
728.names HorizontalMove$_ndc_ne0$true HorizontalMove$_ndc_ne4$false _ndc HorizontalMove$_ndc$raw_nec
729- - 0 =HorizontalMove$_ndc_ne4$false
730- - 1 =HorizontalMove$_ndc_ne0$true
731.mv Grip$_ndc$raw_ned 2 Grab Free
732.names Grip$_ndc_ndf$true Grip$_ndc_ne3$false _ndc Grip$_ndc$raw_ned
733- - 0 =Grip$_ndc_ne3$false
734- - 1 =Grip$_ndc_ndf$true
735.mv PieceReleasedOnFB$_ndc$raw_nef 2 Y N
736.names PieceReleasedOnFB$_ndc_ne2$true PieceReleasedOnFB _ndc PieceReleasedOnFB$_ndc$raw_nef
737- - 0 =PieceReleasedOnFB
738- - 1 =PieceReleasedOnFB$_ndc_ne2$true
739# Grip  = 0
740.mv Grip$_nd9_nf5$false 2 Grab Free
741.names Grip$_nd9_nf5$false
742Grab
743# HorizontalMove  = 2
744.mv HorizontalMove$_nd9_nf6$false 3 GoLeft GoRight Stop
745.names HorizontalMove$_nd9_nf6$false
746Stop
747# VerticalMove  = 1
748.mv VerticalMove$_nd9_nf7$false 3 GoUp GoDown Stop
749.names VerticalMove$_nd9_nf7$false
750GoDown
751# if/else (VerticalMove  == 1)
752.mv VerticalMove$_nd9$raw_nfc 3 GoUp GoDown Stop
753.names VerticalMove$_ndc$raw_nea VerticalMove$_nd9_nf7$false _nd9 VerticalMove$_nd9$raw_nfc
754- - 0 =VerticalMove$_nd9_nf7$false
755- - 1 =VerticalMove$_ndc$raw_nea
756.mv HorizontalMove$_nd9$raw_nfe 3 GoLeft GoRight Stop
757.names HorizontalMove$_ndc$raw_nec HorizontalMove$_nd9_nf6$false _nd9 HorizontalMove$_nd9$raw_nfe
758- - 0 =HorizontalMove$_nd9_nf6$false
759- - 1 =HorizontalMove$_ndc$raw_nec
760.mv Grip$_nd9$raw_nff 2 Grab Free
761.names Grip$_ndc$raw_ned Grip$_nd9_nf5$false _nd9 Grip$_nd9$raw_nff
762- - 0 =Grip$_nd9_nf5$false
763- - 1 =Grip$_ndc$raw_ned
764.mv PieceReleasedOnFB$_nd9$raw_n101 2 Y N
765.names PieceReleasedOnFB$_ndc$raw_nef PieceReleasedOnFB _nd9 PieceReleasedOnFB$_nd9$raw_n101
766- - 0 =PieceReleasedOnFB
767- - 1 =PieceReleasedOnFB$_ndc$raw_nef
768# if/else (CraneOnTheLeft  == 0)
769.mv VerticalMove$_nd6$raw_n10f 3 GoUp GoDown Stop
770.names VerticalMove$_nd9$raw_nfc VerticalMove _nd6 VerticalMove$_nd6$raw_n10f
771- - 0 =VerticalMove
772- - 1 =VerticalMove$_nd9$raw_nfc
773.mv PieceReleasedOnFB$_nd6$raw_n110 2 Y N
774.names PieceReleasedOnFB$_nd9$raw_n101 PieceReleasedOnFB _nd6 PieceReleasedOnFB$_nd6$raw_n110
775- - 0 =PieceReleasedOnFB
776- - 1 =PieceReleasedOnFB$_nd9$raw_n101
777.mv HorizontalMove$_nd6$raw_n111 3 GoLeft GoRight Stop
778.names HorizontalMove$_nd9$raw_nfe HorizontalMove _nd6 HorizontalMove$_nd6$raw_n111
779- - 0 =HorizontalMove
780- - 1 =HorizontalMove$_nd9$raw_nfe
781.mv Grip$_nd6$raw_n112 2 Grab Free
782.names Grip$_nd9$raw_nff Grip _nd6 Grip$_nd6$raw_n112
783- - 0 =Grip
784- - 1 =Grip$_nd9$raw_nff
785.mv _n114 2 Y N
786.names _n114
787Y
788# CraneOnTheRight  == 0
789.names CraneOnTheRight _n114 _n113
790.def 0
791- =CraneOnTheRight 1
792.names _n113 _n115
793- =_n113
794# Grip  = 0
795.mv Grip$_n113_n116$true 2 Grab Free
796.names Grip$_n113_n116$true
797Grab
798.mv _n118 3 GoUp GoDown Stop
799.names _n118
800GoUp
801# VerticalMove  == 0
802.names VerticalMove$_nd6$raw_n10f _n118 _n117
803.def 0
804- =VerticalMove$_nd6$raw_n10f 1
805.names _n117 _n119
806- =_n117
807# HorizontalMove  = 0
808.mv HorizontalMove$_n117_n11a$true 3 GoLeft GoRight Stop
809.names HorizontalMove$_n117_n11a$true
810GoLeft
811# VerticalMove  = 2
812.mv VerticalMove$_n117_n11b$true 3 GoUp GoDown Stop
813.names VerticalMove$_n117_n11b$true
814Stop
815# HorizontalMove  = 2
816.mv HorizontalMove$_n117_n11c$false 3 GoLeft GoRight Stop
817.names HorizontalMove$_n117_n11c$false
818Stop
819# VerticalMove  = 0
820.mv VerticalMove$_n117_n11d$false 3 GoUp GoDown Stop
821.names VerticalMove$_n117_n11d$false
822GoUp
823# if/else (VerticalMove  == 0)
824.mv VerticalMove$_n117$raw_n11e 3 GoUp GoDown Stop
825.names VerticalMove$_n117_n11b$true VerticalMove$_n117_n11d$false _n117 VerticalMove$_n117$raw_n11e
826- - 0 =VerticalMove$_n117_n11d$false
827- - 1 =VerticalMove$_n117_n11b$true
828.mv HorizontalMove$_n117$raw_n120 3 GoLeft GoRight Stop
829.names HorizontalMove$_n117_n11a$true HorizontalMove$_n117_n11c$false _n117 HorizontalMove$_n117$raw_n120
830- - 0 =HorizontalMove$_n117_n11c$false
831- - 1 =HorizontalMove$_n117_n11a$true
832# if/else (CraneOnTheRight  == 0)
833.mv VerticalMove$_n113$raw_n12e 3 GoUp GoDown Stop
834.names VerticalMove$_n117$raw_n11e VerticalMove$_nd6$raw_n10f _n113 VerticalMove$_n113$raw_n12e
835- - 0 =VerticalMove$_nd6$raw_n10f
836- - 1 =VerticalMove$_n117$raw_n11e
837.mv HorizontalMove$_n113$raw_n130 3 GoLeft GoRight Stop
838.names HorizontalMove$_n117$raw_n120 HorizontalMove$_nd6$raw_n111 _n113 HorizontalMove$_n113$raw_n130
839- - 0 =HorizontalMove$_nd6$raw_n111
840- - 1 =HorizontalMove$_n117$raw_n120
841.mv Grip$_n113$raw_n131 2 Grab Free
842.names Grip$_n113_n116$true Grip$_nd6$raw_n112 _n113 Grip$_n113$raw_n131
843- - 0 =Grip$_nd6$raw_n112
844- - 1 =Grip$_n113_n116$true
845.mv _n140 3 UpMost DBHight FBHight
846.names _n140
847FBHight
848.names VerticalPos _n140 _n13f
849.def 0
850- =VerticalPos 1
851.names _n13f  _n13e
8521 1
8530 0
854.mv _n142 2 Y N
855.names _n142
856Y
857# CraneOnTheLeft  == 0
858.names CraneOnTheLeft _n142 _n141
859.def 0
860- =CraneOnTheLeft 1
861.names _n141 _n143
862- =_n141
863.mv _n145 2 Y N
864.names _n145
865Y
866# FBReady  == 0
867.names FBReady _n145 _n144
868.def 0
869- =FBReady 1
870.names _n144 _n146
871- =_n144
872# Grip  = 1
873.mv Grip$_n144_n147$true 2 Grab Free
874.names Grip$_n144_n147$true
875Free
876# HorizontalMove  = 2
877.mv HorizontalMove$_n144_n148$true 3 GoLeft GoRight Stop
878.names HorizontalMove$_n144_n148$true
879Stop
880# VerticalMove  = 0
881.mv VerticalMove$_n144_n149$true 3 GoUp GoDown Stop
882.names VerticalMove$_n144_n149$true
883GoUp
884# PieceReleasedOnFB  = 0
885.mv PieceReleasedOnFB$_n144_n14a$true 2 Y N
886.names PieceReleasedOnFB$_n144_n14a$true
887Y
888# Grip  = 0
889.mv Grip$_n144_n14b$false 2 Grab Free
890.names Grip$_n144_n14b$false
891Grab
892# HorizontalMove  = 2
893.mv HorizontalMove$_n144_n14c$false 3 GoLeft GoRight Stop
894.names HorizontalMove$_n144_n14c$false
895Stop
896# VerticalMove  = 2
897.mv VerticalMove$_n144_n14d$false 3 GoUp GoDown Stop
898.names VerticalMove$_n144_n14d$false
899Stop
900# if/else (FBReady  == 0)
901.mv VerticalMove$_n144$raw_n152 3 GoUp GoDown Stop
902.names VerticalMove$_n144_n149$true VerticalMove$_n144_n14d$false _n144 VerticalMove$_n144$raw_n152
903- - 0 =VerticalMove$_n144_n14d$false
904- - 1 =VerticalMove$_n144_n149$true
905.mv HorizontalMove$_n144$raw_n154 3 GoLeft GoRight Stop
906.names HorizontalMove$_n144_n148$true HorizontalMove$_n144_n14c$false _n144 HorizontalMove$_n144$raw_n154
907- - 0 =HorizontalMove$_n144_n14c$false
908- - 1 =HorizontalMove$_n144_n148$true
909.mv Grip$_n144$raw_n155 2 Grab Free
910.names Grip$_n144_n147$true Grip$_n144_n14b$false _n144 Grip$_n144$raw_n155
911- - 0 =Grip$_n144_n14b$false
912- - 1 =Grip$_n144_n147$true
913.mv PieceReleasedOnFB$_n144$raw_n157 2 Y N
914.names PieceReleasedOnFB$_n144_n14a$true PieceReleasedOnFB _n144 PieceReleasedOnFB$_n144$raw_n157
915- - 0 =PieceReleasedOnFB
916- - 1 =PieceReleasedOnFB$_n144_n14a$true
917# if/else (CraneOnTheLeft  == 0)
918.mv VerticalMove$_n141$raw_n165 3 GoUp GoDown Stop
919.names VerticalMove$_n144$raw_n152 VerticalMove _n141 VerticalMove$_n141$raw_n165
920- - 0 =VerticalMove
921- - 1 =VerticalMove$_n144$raw_n152
922.mv PieceReleasedOnFB$_n141$raw_n166 2 Y N
923.names PieceReleasedOnFB$_n144$raw_n157 PieceReleasedOnFB _n141 PieceReleasedOnFB$_n141$raw_n166
924- - 0 =PieceReleasedOnFB
925- - 1 =PieceReleasedOnFB$_n144$raw_n157
926.mv HorizontalMove$_n141$raw_n167 3 GoLeft GoRight Stop
927.names HorizontalMove$_n144$raw_n154 HorizontalMove _n141 HorizontalMove$_n141$raw_n167
928- - 0 =HorizontalMove
929- - 1 =HorizontalMove$_n144$raw_n154
930.mv Grip$_n141$raw_n168 2 Grab Free
931.names Grip$_n144$raw_n155 Grip _n141 Grip$_n141$raw_n168
932- - 0 =Grip
933- - 1 =Grip$_n144$raw_n155
934# case (VerticalPos )
935.mv VerticalMove$_n13e$raw_n171 3 GoUp GoDown Stop
936.names VerticalMove$_n141$raw_n165 VerticalMove _n13e VerticalMove$_n13e$raw_n171
937- - 0 =VerticalMove
938- - 1 =VerticalMove$_n141$raw_n165
939.mv PieceReleasedOnFB$_n13e$raw_n172 2 Y N
940.names PieceReleasedOnFB$_n141$raw_n166 PieceReleasedOnFB _n13e PieceReleasedOnFB$_n13e$raw_n172
941- - 0 =PieceReleasedOnFB
942- - 1 =PieceReleasedOnFB$_n141$raw_n166
943.mv HorizontalMove$_n13e$raw_n173 3 GoLeft GoRight Stop
944.names HorizontalMove$_n141$raw_n167 HorizontalMove _n13e HorizontalMove$_n13e$raw_n173
945- - 0 =HorizontalMove
946- - 1 =HorizontalMove$_n141$raw_n167
947.mv Grip$_n13e$raw_n174 2 Grab Free
948.names Grip$_n141$raw_n168 Grip _n13e Grip$_n13e$raw_n174
949- - 0 =Grip
950- - 1 =Grip$_n141$raw_n168
951.mv VerticalMove$_nd3$raw_n175 3 GoUp GoDown Stop
952.names VerticalMove$_n113$raw_n12e VerticalMove$_n13e$raw_n171 _nd3 VerticalMove$_nd3$raw_n175
953- - 0 =VerticalMove$_n13e$raw_n171
954- - 1 =VerticalMove$_n113$raw_n12e
955.mv PieceReleasedOnFB$_nd3$raw_n176 2 Y N
956.names PieceReleasedOnFB$_nd6$raw_n110 PieceReleasedOnFB$_n13e$raw_n172 _nd3 PieceReleasedOnFB$_nd3$raw_n176
957- - 0 =PieceReleasedOnFB$_n13e$raw_n172
958- - 1 =PieceReleasedOnFB$_nd6$raw_n110
959.mv HorizontalMove$_nd3$raw_n177 3 GoLeft GoRight Stop
960.names HorizontalMove$_n113$raw_n130 HorizontalMove$_n13e$raw_n173 _nd3 HorizontalMove$_nd3$raw_n177
961- - 0 =HorizontalMove$_n13e$raw_n173
962- - 1 =HorizontalMove$_n113$raw_n130
963.mv Grip$_nd3$raw_n178 2 Grab Free
964.names Grip$_n113$raw_n131 Grip$_n13e$raw_n174 _nd3 Grip$_nd3$raw_n178
965- - 0 =Grip$_n13e$raw_n174
966- - 1 =Grip$_n113$raw_n131
967.mv VerticalMove$_n97$raw_n185 3 GoUp GoDown Stop
968.names VerticalMove$_n9b$raw_nca VerticalMove$_nd3$raw_n175 _n97 VerticalMove$_n97$raw_n185
969- - 0 =VerticalMove$_nd3$raw_n175
970- - 1 =VerticalMove$_n9b$raw_nca
971.mv HorizontalMove$_n97$raw_n186 3 GoLeft GoRight Stop
972.names HorizontalMove$_n9b$raw_ncb HorizontalMove$_nd3$raw_n177 _n97 HorizontalMove$_n97$raw_n186
973- - 0 =HorizontalMove$_nd3$raw_n177
974- - 1 =HorizontalMove$_n9b$raw_ncb
975.mv Grip$_n97$raw_n187 2 Grab Free
976.names Grip$_n97_n9a$true Grip$_nd3$raw_n178 _n97 Grip$_n97$raw_n187
977- - 0 =Grip$_nd3$raw_n178
978- - 1 =Grip$_n97_n9a$true
979.mv PieceReleasedOnFB$_n97$raw_n18f 2 Y N
980.names PieceReleasedOnFB PieceReleasedOnFB$_nd3$raw_n176 _n97 PieceReleasedOnFB$_n97$raw_n18f
981- - 0 =PieceReleasedOnFB$_nd3$raw_n176
982- - 1 =PieceReleasedOnFB
983.mv _n194 2 Grab Free
984.names _n194
985Free
986.names Grip _n194 _n193
987.def 0
988- =Grip 1
989.names _n193  _n192
9901 1
9910 0
992.mv _n197 3 UpMost DBHight FBHight
993.names _n197
994UpMost
995.names VerticalPos _n197 _n196
996.def 0
997- =VerticalPos 1
998.names _n196  _n195
9991 1
10000 0
1001.mv _n199 2 Y N
1002.names _n199
1003Y
1004# CraneOnTheLeft  == 0
1005.names CraneOnTheLeft _n199 _n198
1006.def 0
1007- =CraneOnTheLeft 1
1008.names _n198 _n19a
1009- =_n198
1010# Grip  = 1
1011.mv Grip$_n198_n19b$true 2 Grab Free
1012.names Grip$_n198_n19b$true
1013Free
1014# HorizontalMove  = 1
1015.mv HorizontalMove$_n198_n19c$true 3 GoLeft GoRight Stop
1016.names HorizontalMove$_n198_n19c$true
1017GoRight
1018# VerticalMove  = 2
1019.mv VerticalMove$_n198_n19d$true 3 GoUp GoDown Stop
1020.names VerticalMove$_n198_n19d$true
1021Stop
1022.mv _n19f 2 Y N
1023.names _n19f
1024N
1025# CraneOnTheRight  == 1
1026.names CraneOnTheRight _n19f _n19e
1027.def 0
1028- =CraneOnTheRight 1
1029.names _n19e _n1a0
1030- =_n19e
1031.mv _n1a2 3 GoLeft GoRight Stop
1032.names _n1a2
1033GoRight
1034# HorizontalMove  == 1
1035.names HorizontalMove _n1a2 _n1a1
1036.def 0
1037- =HorizontalMove 1
1038.names _n1a1 _n1a3
1039- =_n1a1
1040# Grip  = 1
1041.mv Grip$_n1a1_n1a4$true 2 Grab Free
1042.names Grip$_n1a1_n1a4$true
1043Free
1044# HorizontalMove  = 2
1045.mv HorizontalMove$_n1a1_n1a5$true 3 GoLeft GoRight Stop
1046.names HorizontalMove$_n1a1_n1a5$true
1047Stop
1048# VerticalMove  = 1
1049.mv VerticalMove$_n1a1_n1a6$true 3 GoUp GoDown Stop
1050.names VerticalMove$_n1a1_n1a6$true
1051GoDown
1052# Grip  = 1
1053.mv Grip$_n1a1_n1a7$false 2 Grab Free
1054.names Grip$_n1a1_n1a7$false
1055Free
1056# HorizontalMove  = 1
1057.mv HorizontalMove$_n1a1_n1a8$false 3 GoLeft GoRight Stop
1058.names HorizontalMove$_n1a1_n1a8$false
1059GoRight
1060# VerticalMove  = 2
1061.mv VerticalMove$_n1a1_n1a9$false 3 GoUp GoDown Stop
1062.names VerticalMove$_n1a1_n1a9$false
1063Stop
1064# if/else (HorizontalMove  == 1)
1065.mv VerticalMove$_n1a1$raw_n1ad 3 GoUp GoDown Stop
1066.names VerticalMove$_n1a1_n1a6$true VerticalMove$_n1a1_n1a9$false _n1a1 VerticalMove$_n1a1$raw_n1ad
1067- - 0 =VerticalMove$_n1a1_n1a9$false
1068- - 1 =VerticalMove$_n1a1_n1a6$true
1069.mv HorizontalMove$_n1a1$raw_n1ae 3 GoLeft GoRight Stop
1070.names HorizontalMove$_n1a1_n1a5$true HorizontalMove$_n1a1_n1a8$false _n1a1 HorizontalMove$_n1a1$raw_n1ae
1071- - 0 =HorizontalMove$_n1a1_n1a8$false
1072- - 1 =HorizontalMove$_n1a1_n1a5$true
1073.mv Grip$_n1a1$raw_n1af 2 Grab Free
1074.names Grip$_n1a1_n1a4$true Grip$_n1a1_n1a7$false _n1a1 Grip$_n1a1$raw_n1af
1075- - 0 =Grip$_n1a1_n1a7$false
1076- - 1 =Grip$_n1a1_n1a4$true
1077.mv _n1b7 2 Y N
1078.names _n1b7
1079Y
1080# PieceOutDB  == 0
1081.names PieceOutDB _n1b7 _n1b6
1082.def 0
1083- =PieceOutDB 1
1084.names _n1b6 _n1b8
1085- =_n1b6
1086.mv _n1ba 3 GoUp GoDown Stop
1087.names _n1ba
1088GoDown
1089# VerticalMove  == 1
1090.names VerticalMove _n1ba _n1b9
1091.def 0
1092- =VerticalMove 1
1093.names _n1b9 _n1bb
1094- =_n1b9
1095# Grip  = 0
1096.mv Grip$_n1b9_n1bc$true 2 Grab Free
1097.names Grip$_n1b9_n1bc$true
1098Grab
1099# HorizontalMove  = 2
1100.mv HorizontalMove$_n1b9_n1bd$true 3 GoLeft GoRight Stop
1101.names HorizontalMove$_n1b9_n1bd$true
1102Stop
1103# VerticalMove  = 0
1104.mv VerticalMove$_n1b9_n1be$true 3 GoUp GoDown Stop
1105.names VerticalMove$_n1b9_n1be$true
1106GoUp
1107# PieceGrabbedFromDB  = 0
1108.mv PieceGrabbedFromDB$_n1b9_n1bf$true 2 Y N
1109.names PieceGrabbedFromDB$_n1b9_n1bf$true
1110Y
1111# Grip  = 1
1112.mv Grip$_n1b9_n1c0$false 2 Grab Free
1113.names Grip$_n1b9_n1c0$false
1114Free
1115# HorizontalMove  = 2
1116.mv HorizontalMove$_n1b9_n1c1$false 3 GoLeft GoRight Stop
1117.names HorizontalMove$_n1b9_n1c1$false
1118Stop
1119# VerticalMove  = 1
1120.mv VerticalMove$_n1b9_n1c2$false 3 GoUp GoDown Stop
1121.names VerticalMove$_n1b9_n1c2$false
1122GoDown
1123# if/else (VerticalMove  == 1)
1124.mv VerticalMove$_n1b9$raw_n1c7 3 GoUp GoDown Stop
1125.names VerticalMove$_n1b9_n1be$true VerticalMove$_n1b9_n1c2$false _n1b9 VerticalMove$_n1b9$raw_n1c7
1126- - 0 =VerticalMove$_n1b9_n1c2$false
1127- - 1 =VerticalMove$_n1b9_n1be$true
1128.mv HorizontalMove$_n1b9$raw_n1c9 3 GoLeft GoRight Stop
1129.names HorizontalMove$_n1b9_n1bd$true HorizontalMove$_n1b9_n1c1$false _n1b9 HorizontalMove$_n1b9$raw_n1c9
1130- - 0 =HorizontalMove$_n1b9_n1c1$false
1131- - 1 =HorizontalMove$_n1b9_n1bd$true
1132.mv Grip$_n1b9$raw_n1ca 2 Grab Free
1133.names Grip$_n1b9_n1bc$true Grip$_n1b9_n1c0$false _n1b9 Grip$_n1b9$raw_n1ca
1134- - 0 =Grip$_n1b9_n1c0$false
1135- - 1 =Grip$_n1b9_n1bc$true
1136.mv PieceGrabbedFromDB$_n1b9$raw_n1cc 2 Y N
1137.names PieceGrabbedFromDB$_n1b9_n1bf$true PieceGrabbedFromDB _n1b9 PieceGrabbedFromDB$_n1b9$raw_n1cc
1138- - 0 =PieceGrabbedFromDB
1139- - 1 =PieceGrabbedFromDB$_n1b9_n1bf$true
1140# Grip  = 1
1141.mv Grip$_n1b6_n1d2$false 2 Grab Free
1142.names Grip$_n1b6_n1d2$false
1143Free
1144# HorizontalMove  = 2
1145.mv HorizontalMove$_n1b6_n1d3$false 3 GoLeft GoRight Stop
1146.names HorizontalMove$_n1b6_n1d3$false
1147Stop
1148.mv _n1d5 3 GoUp GoDown Stop
1149.names _n1d5
1150GoDown
1151# VerticalMove  == 1
1152.names VerticalMove _n1d5 _n1d4
1153.def 0
1154- =VerticalMove 1
1155.names _n1d4 _n1d6
1156- =_n1d4
1157# VerticalMove  = 2
1158.mv VerticalMove$_n1d4_n1d7$true 3 GoUp GoDown Stop
1159.names VerticalMove$_n1d4_n1d7$true
1160Stop
1161# VerticalMove  = 1
1162.mv VerticalMove$_n1d4_n1d8$false 3 GoUp GoDown Stop
1163.names VerticalMove$_n1d4_n1d8$false
1164GoDown
1165# if/else (VerticalMove  == 1)
1166.mv VerticalMove$_n1d4$raw_n1dc 3 GoUp GoDown Stop
1167.names VerticalMove$_n1d4_n1d7$true VerticalMove$_n1d4_n1d8$false _n1d4 VerticalMove$_n1d4$raw_n1dc
1168- - 0 =VerticalMove$_n1d4_n1d8$false
1169- - 1 =VerticalMove$_n1d4_n1d7$true
1170# if/else (PieceOutDB  == 0)
1171.mv VerticalMove$_n1b6$raw_n1e9 3 GoUp GoDown Stop
1172.names VerticalMove$_n1b9$raw_n1c7 VerticalMove$_n1d4$raw_n1dc _n1b6 VerticalMove$_n1b6$raw_n1e9
1173- - 0 =VerticalMove$_n1d4$raw_n1dc
1174- - 1 =VerticalMove$_n1b9$raw_n1c7
1175.mv HorizontalMove$_n1b6$raw_n1eb 3 GoLeft GoRight Stop
1176.names HorizontalMove$_n1b9$raw_n1c9 HorizontalMove$_n1b6_n1d3$false _n1b6 HorizontalMove$_n1b6$raw_n1eb
1177- - 0 =HorizontalMove$_n1b6_n1d3$false
1178- - 1 =HorizontalMove$_n1b9$raw_n1c9
1179.mv Grip$_n1b6$raw_n1ec 2 Grab Free
1180.names Grip$_n1b9$raw_n1ca Grip$_n1b6_n1d2$false _n1b6 Grip$_n1b6$raw_n1ec
1181- - 0 =Grip$_n1b6_n1d2$false
1182- - 1 =Grip$_n1b9$raw_n1ca
1183.mv PieceGrabbedFromDB$_n1b6$raw_n1ee 2 Y N
1184.names PieceGrabbedFromDB$_n1b9$raw_n1cc PieceGrabbedFromDB _n1b6 PieceGrabbedFromDB$_n1b6$raw_n1ee
1185- - 0 =PieceGrabbedFromDB
1186- - 1 =PieceGrabbedFromDB$_n1b9$raw_n1cc
1187# if/else (CraneOnTheRight  == 1)
1188.mv VerticalMove$_n19e$raw_n1f7 3 GoUp GoDown Stop
1189.names VerticalMove$_n1a1$raw_n1ad VerticalMove$_n1b6$raw_n1e9 _n19e VerticalMove$_n19e$raw_n1f7
1190- - 0 =VerticalMove$_n1b6$raw_n1e9
1191- - 1 =VerticalMove$_n1a1$raw_n1ad
1192.mv HorizontalMove$_n19e$raw_n1f8 3 GoLeft GoRight Stop
1193.names HorizontalMove$_n1a1$raw_n1ae HorizontalMove$_n1b6$raw_n1eb _n19e HorizontalMove$_n19e$raw_n1f8
1194- - 0 =HorizontalMove$_n1b6$raw_n1eb
1195- - 1 =HorizontalMove$_n1a1$raw_n1ae
1196.mv Grip$_n19e$raw_n1f9 2 Grab Free
1197.names Grip$_n1a1$raw_n1af Grip$_n1b6$raw_n1ec _n19e Grip$_n19e$raw_n1f9
1198- - 0 =Grip$_n1b6$raw_n1ec
1199- - 1 =Grip$_n1a1$raw_n1af
1200.mv PieceGrabbedFromDB$_n19e$raw_n1fe 2 Y N
1201.names PieceGrabbedFromDB PieceGrabbedFromDB$_n1b6$raw_n1ee _n19e PieceGrabbedFromDB$_n19e$raw_n1fe
1202- - 0 =PieceGrabbedFromDB$_n1b6$raw_n1ee
1203- - 1 =PieceGrabbedFromDB
1204# if/else (CraneOnTheLeft  == 0)
1205.mv VerticalMove$_n198$raw_n204 3 GoUp GoDown Stop
1206.names VerticalMove$_n198_n19d$true VerticalMove$_n19e$raw_n1f7 _n198 VerticalMove$_n198$raw_n204
1207- - 0 =VerticalMove$_n19e$raw_n1f7
1208- - 1 =VerticalMove$_n198_n19d$true
1209.mv HorizontalMove$_n198$raw_n205 3 GoLeft GoRight Stop
1210.names HorizontalMove$_n198_n19c$true HorizontalMove$_n19e$raw_n1f8 _n198 HorizontalMove$_n198$raw_n205
1211- - 0 =HorizontalMove$_n19e$raw_n1f8
1212- - 1 =HorizontalMove$_n198_n19c$true
1213.mv Grip$_n198$raw_n206 2 Grab Free
1214.names Grip$_n198_n19b$true Grip$_n19e$raw_n1f9 _n198 Grip$_n198$raw_n206
1215- - 0 =Grip$_n19e$raw_n1f9
1216- - 1 =Grip$_n198_n19b$true
1217.mv PieceGrabbedFromDB$_n198$raw_n20b 2 Y N
1218.names PieceGrabbedFromDB PieceGrabbedFromDB$_n19e$raw_n1fe _n198 PieceGrabbedFromDB$_n198$raw_n20b
1219- - 0 =PieceGrabbedFromDB$_n19e$raw_n1fe
1220- - 1 =PieceGrabbedFromDB
1221.mv _n210 3 UpMost DBHight FBHight
1222.names _n210
1223DBHight
1224.names VerticalPos _n210 _n20f
1225.def 0
1226- =VerticalPos 1
1227.names _n20f  _n20e
12281 1
12290 0
1230.mv _n212 2 Y N
1231.names _n212
1232Y
1233# CraneOnTheLeft  == 0
1234.names CraneOnTheLeft _n212 _n211
1235.def 0
1236- =CraneOnTheLeft 1
1237.names _n211 _n213
1238- =_n211
1239# Grip  = 1
1240.mv Grip$_n211_n214$true 2 Grab Free
1241.names Grip$_n211_n214$true
1242Free
1243.mv _n216 3 GoUp GoDown Stop
1244.names _n216
1245GoUp
1246# VerticalMove  == 0
1247.names VerticalMove _n216 _n215
1248.def 0
1249- =VerticalMove 1
1250.names _n215 _n217
1251- =_n215
1252# HorizontalMove  = 1
1253.mv HorizontalMove$_n215_n218$true 3 GoLeft GoRight Stop
1254.names HorizontalMove$_n215_n218$true
1255GoRight
1256# VerticalMove  = 2
1257.mv VerticalMove$_n215_n219$true 3 GoUp GoDown Stop
1258.names VerticalMove$_n215_n219$true
1259Stop
1260# HorizontalMove  = 2
1261.mv HorizontalMove$_n215_n21a$false 3 GoLeft GoRight Stop
1262.names HorizontalMove$_n215_n21a$false
1263Stop
1264# VerticalMove  = 0
1265.mv VerticalMove$_n215_n21b$false 3 GoUp GoDown Stop
1266.names VerticalMove$_n215_n21b$false
1267GoUp
1268# if/else (VerticalMove  == 0)
1269.mv VerticalMove$_n215$raw_n21f 3 GoUp GoDown Stop
1270.names VerticalMove$_n215_n219$true VerticalMove$_n215_n21b$false _n215 VerticalMove$_n215$raw_n21f
1271- - 0 =VerticalMove$_n215_n21b$false
1272- - 1 =VerticalMove$_n215_n219$true
1273.mv HorizontalMove$_n215$raw_n220 3 GoLeft GoRight Stop
1274.names HorizontalMove$_n215_n218$true HorizontalMove$_n215_n21a$false _n215 HorizontalMove$_n215$raw_n220
1275- - 0 =HorizontalMove$_n215_n21a$false
1276- - 1 =HorizontalMove$_n215_n218$true
1277# if/else (CraneOnTheLeft  == 0)
1278.mv VerticalMove$_n211$raw_n22e 3 GoUp GoDown Stop
1279.names VerticalMove$_n215$raw_n21f VerticalMove _n211 VerticalMove$_n211$raw_n22e
1280- - 0 =VerticalMove
1281- - 1 =VerticalMove$_n215$raw_n21f
1282.mv HorizontalMove$_n211$raw_n22f 3 GoLeft GoRight Stop
1283.names HorizontalMove$_n215$raw_n220 HorizontalMove _n211 HorizontalMove$_n211$raw_n22f
1284- - 0 =HorizontalMove
1285- - 1 =HorizontalMove$_n215$raw_n220
1286.mv Grip$_n211$raw_n230 2 Grab Free
1287.names Grip$_n211_n214$true Grip _n211 Grip$_n211$raw_n230
1288- - 0 =Grip
1289- - 1 =Grip$_n211_n214$true
1290.mv _n232 2 Y N
1291.names _n232
1292Y
1293# CraneOnTheRight  == 0
1294.names CraneOnTheRight _n232 _n231
1295.def 0
1296- =CraneOnTheRight 1
1297.names _n231 _n233
1298- =_n231
1299.mv _n235 2 Y N
1300.names _n235
1301Y
1302# PieceOutDB  == 0
1303.names PieceOutDB _n235 _n234
1304.def 0
1305- =PieceOutDB 1
1306.names _n234 _n236
1307- =_n234
1308# Grip  = 0
1309.mv Grip$_n234_n237$true 2 Grab Free
1310.names Grip$_n234_n237$true
1311Grab
1312# HorizontalMove  = 2
1313.mv HorizontalMove$_n234_n238$true 3 GoLeft GoRight Stop
1314.names HorizontalMove$_n234_n238$true
1315Stop
1316# VerticalMove  = 0
1317.mv VerticalMove$_n234_n239$true 3 GoUp GoDown Stop
1318.names VerticalMove$_n234_n239$true
1319GoUp
1320# PieceGrabbedFromDB  = 0
1321.mv PieceGrabbedFromDB$_n234_n23a$true 2 Y N
1322.names PieceGrabbedFromDB$_n234_n23a$true
1323Y
1324# Grip  = 1
1325.mv Grip$_n234_n23b$false 2 Grab Free
1326.names Grip$_n234_n23b$false
1327Free
1328# HorizontalMove  = 2
1329.mv HorizontalMove$_n234_n23c$false 3 GoLeft GoRight Stop
1330.names HorizontalMove$_n234_n23c$false
1331Stop
1332# VerticalMove  = 2
1333.mv VerticalMove$_n234_n23d$false 3 GoUp GoDown Stop
1334.names VerticalMove$_n234_n23d$false
1335Stop
1336# if/else (PieceOutDB  == 0)
1337.mv VerticalMove$_n234$raw_n23e 3 GoUp GoDown Stop
1338.names VerticalMove$_n234_n239$true VerticalMove$_n234_n23d$false _n234 VerticalMove$_n234$raw_n23e
1339- - 0 =VerticalMove$_n234_n23d$false
1340- - 1 =VerticalMove$_n234_n239$true
1341.mv HorizontalMove$_n234$raw_n240 3 GoLeft GoRight Stop
1342.names HorizontalMove$_n234_n238$true HorizontalMove$_n234_n23c$false _n234 HorizontalMove$_n234$raw_n240
1343- - 0 =HorizontalMove$_n234_n23c$false
1344- - 1 =HorizontalMove$_n234_n238$true
1345.mv Grip$_n234$raw_n241 2 Grab Free
1346.names Grip$_n234_n237$true Grip$_n234_n23b$false _n234 Grip$_n234$raw_n241
1347- - 0 =Grip$_n234_n23b$false
1348- - 1 =Grip$_n234_n237$true
1349.mv PieceGrabbedFromDB$_n234$raw_n247 2 Y N
1350.names PieceGrabbedFromDB$_n234_n23a$true PieceGrabbedFromDB _n234 PieceGrabbedFromDB$_n234$raw_n247
1351- - 0 =PieceGrabbedFromDB
1352- - 1 =PieceGrabbedFromDB$_n234_n23a$true
1353# if/else (CraneOnTheRight  == 0)
1354.mv VerticalMove$_n231$raw_n24d 3 GoUp GoDown Stop
1355.names VerticalMove$_n234$raw_n23e VerticalMove$_n211$raw_n22e _n231 VerticalMove$_n231$raw_n24d
1356- - 0 =VerticalMove$_n211$raw_n22e
1357- - 1 =VerticalMove$_n234$raw_n23e
1358.mv HorizontalMove$_n231$raw_n24f 3 GoLeft GoRight Stop
1359.names HorizontalMove$_n234$raw_n240 HorizontalMove$_n211$raw_n22f _n231 HorizontalMove$_n231$raw_n24f
1360- - 0 =HorizontalMove$_n211$raw_n22f
1361- - 1 =HorizontalMove$_n234$raw_n240
1362.mv Grip$_n231$raw_n250 2 Grab Free
1363.names Grip$_n234$raw_n241 Grip$_n211$raw_n230 _n231 Grip$_n231$raw_n250
1364- - 0 =Grip$_n211$raw_n230
1365- - 1 =Grip$_n234$raw_n241
1366.mv PieceGrabbedFromDB$_n231$raw_n256 2 Y N
1367.names PieceGrabbedFromDB$_n234$raw_n247 PieceGrabbedFromDB _n231 PieceGrabbedFromDB$_n231$raw_n256
1368- - 0 =PieceGrabbedFromDB
1369- - 1 =PieceGrabbedFromDB$_n234$raw_n247
1370.mv _n25e 3 UpMost DBHight FBHight
1371.names _n25e
1372FBHight
1373.names VerticalPos _n25e _n25d
1374.def 0
1375- =VerticalPos 1
1376.names _n25d  _n25c
13771 1
13780 0
1379.mv _n260 2 Y N
1380.names _n260
1381Y
1382# CraneOnTheLeft  == 0
1383.names CraneOnTheLeft _n260 _n25f
1384.def 0
1385- =CraneOnTheLeft 1
1386.names _n25f _n261
1387- =_n25f
1388# Grip  = 1
1389.mv Grip$_n25f_n262$true 2 Grab Free
1390.names Grip$_n25f_n262$true
1391Free
1392# HorizontalMove  = 2
1393.mv HorizontalMove$_n25f_n263$true 3 GoLeft GoRight Stop
1394.names HorizontalMove$_n25f_n263$true
1395Stop
1396# VerticalMove  = 0
1397.mv VerticalMove$_n25f_n264$true 3 GoUp GoDown Stop
1398.names VerticalMove$_n25f_n264$true
1399GoUp
1400# if/else (CraneOnTheLeft  == 0)
1401.mv VerticalMove$_n25f$raw_n26b 3 GoUp GoDown Stop
1402.names VerticalMove$_n25f_n264$true VerticalMove _n25f VerticalMove$_n25f$raw_n26b
1403- - 0 =VerticalMove
1404- - 1 =VerticalMove$_n25f_n264$true
1405.mv HorizontalMove$_n25f$raw_n26c 3 GoLeft GoRight Stop
1406.names HorizontalMove$_n25f_n263$true HorizontalMove _n25f HorizontalMove$_n25f$raw_n26c
1407- - 0 =HorizontalMove
1408- - 1 =HorizontalMove$_n25f_n263$true
1409.mv Grip$_n25f$raw_n26d 2 Grab Free
1410.names Grip$_n25f_n262$true Grip _n25f Grip$_n25f$raw_n26d
1411- - 0 =Grip
1412- - 1 =Grip$_n25f_n262$true
1413# case (VerticalPos )
1414.mv VerticalMove$_n25c$raw_n274 3 GoUp GoDown Stop
1415.names VerticalMove$_n25f$raw_n26b VerticalMove _n25c VerticalMove$_n25c$raw_n274
1416- - 0 =VerticalMove
1417- - 1 =VerticalMove$_n25f$raw_n26b
1418.mv HorizontalMove$_n25c$raw_n275 3 GoLeft GoRight Stop
1419.names HorizontalMove$_n25f$raw_n26c HorizontalMove _n25c HorizontalMove$_n25c$raw_n275
1420- - 0 =HorizontalMove
1421- - 1 =HorizontalMove$_n25f$raw_n26c
1422.mv Grip$_n25c$raw_n276 2 Grab Free
1423.names Grip$_n25f$raw_n26d Grip _n25c Grip$_n25c$raw_n276
1424- - 0 =Grip
1425- - 1 =Grip$_n25f$raw_n26d
1426.mv VerticalMove$_n20e$raw_n277 3 GoUp GoDown Stop
1427.names VerticalMove$_n231$raw_n24d VerticalMove$_n25c$raw_n274 _n20e VerticalMove$_n20e$raw_n277
1428- - 0 =VerticalMove$_n25c$raw_n274
1429- - 1 =VerticalMove$_n231$raw_n24d
1430.mv HorizontalMove$_n20e$raw_n279 3 GoLeft GoRight Stop
1431.names HorizontalMove$_n231$raw_n24f HorizontalMove$_n25c$raw_n275 _n20e HorizontalMove$_n20e$raw_n279
1432- - 0 =HorizontalMove$_n25c$raw_n275
1433- - 1 =HorizontalMove$_n231$raw_n24f
1434.mv Grip$_n20e$raw_n27a 2 Grab Free
1435.names Grip$_n231$raw_n250 Grip$_n25c$raw_n276 _n20e Grip$_n20e$raw_n27a
1436- - 0 =Grip$_n25c$raw_n276
1437- - 1 =Grip$_n231$raw_n250
1438.mv PieceGrabbedFromDB$_n20e$raw_n280 2 Y N
1439.names PieceGrabbedFromDB$_n231$raw_n256 PieceGrabbedFromDB _n20e PieceGrabbedFromDB$_n20e$raw_n280
1440- - 0 =PieceGrabbedFromDB
1441- - 1 =PieceGrabbedFromDB$_n231$raw_n256
1442.mv VerticalMove$_n195$raw_n286 3 GoUp GoDown Stop
1443.names VerticalMove$_n198$raw_n204 VerticalMove$_n20e$raw_n277 _n195 VerticalMove$_n195$raw_n286
1444- - 0 =VerticalMove$_n20e$raw_n277
1445- - 1 =VerticalMove$_n198$raw_n204
1446.mv PieceGrabbedFromDB$_n195$raw_n287 2 Y N
1447.names PieceGrabbedFromDB$_n198$raw_n20b PieceGrabbedFromDB$_n20e$raw_n280 _n195 PieceGrabbedFromDB$_n195$raw_n287
1448- - 0 =PieceGrabbedFromDB$_n20e$raw_n280
1449- - 1 =PieceGrabbedFromDB$_n198$raw_n20b
1450.mv HorizontalMove$_n195$raw_n288 3 GoLeft GoRight Stop
1451.names HorizontalMove$_n198$raw_n205 HorizontalMove$_n20e$raw_n279 _n195 HorizontalMove$_n195$raw_n288
1452- - 0 =HorizontalMove$_n20e$raw_n279
1453- - 1 =HorizontalMove$_n198$raw_n205
1454.mv Grip$_n195$raw_n289 2 Grab Free
1455.names Grip$_n198$raw_n206 Grip$_n20e$raw_n27a _n195 Grip$_n195$raw_n289
1456- - 0 =Grip$_n20e$raw_n27a
1457- - 1 =Grip$_n198$raw_n206
1458# case (Grip )
1459.mv VerticalMove$_n192$raw_n29e 3 GoUp GoDown Stop
1460.names VerticalMove$_n195$raw_n286 VerticalMove _n192 VerticalMove$_n192$raw_n29e
1461- - 0 =VerticalMove
1462- - 1 =VerticalMove$_n195$raw_n286
1463.mv PieceGrabbedFromDB$_n192$raw_n29f 2 Y N
1464.names PieceGrabbedFromDB$_n195$raw_n287 PieceGrabbedFromDB _n192 PieceGrabbedFromDB$_n192$raw_n29f
1465- - 0 =PieceGrabbedFromDB
1466- - 1 =PieceGrabbedFromDB$_n195$raw_n287
1467.mv HorizontalMove$_n192$raw_n2a0 3 GoLeft GoRight Stop
1468.names HorizontalMove$_n195$raw_n288 HorizontalMove _n192 HorizontalMove$_n192$raw_n2a0
1469- - 0 =HorizontalMove
1470- - 1 =HorizontalMove$_n195$raw_n288
1471.mv Grip$_n192$raw_n2a1 2 Grab Free
1472.names Grip$_n195$raw_n289 Grip _n192 Grip$_n192$raw_n2a1
1473- - 0 =Grip
1474- - 1 =Grip$_n195$raw_n289
1475.mv VerticalMove$_n94$raw_n2a2 3 GoUp GoDown Stop
1476.names VerticalMove$_n97$raw_n185 VerticalMove$_n192$raw_n29e _n94 VerticalMove$_n94$raw_n2a2
1477- - 0 =VerticalMove$_n192$raw_n29e
1478- - 1 =VerticalMove$_n97$raw_n185
1479.mv HorizontalMove$_n94$raw_n2a4 3 GoLeft GoRight Stop
1480.names HorizontalMove$_n97$raw_n186 HorizontalMove$_n192$raw_n2a0 _n94 HorizontalMove$_n94$raw_n2a4
1481- - 0 =HorizontalMove$_n192$raw_n2a0
1482- - 1 =HorizontalMove$_n97$raw_n186
1483.mv Grip$_n94$raw_n2a5 2 Grab Free
1484.names Grip$_n97$raw_n187 Grip$_n192$raw_n2a1 _n94 Grip$_n94$raw_n2a5
1485- - 0 =Grip$_n192$raw_n2a1
1486- - 1 =Grip$_n97$raw_n187
1487.mv PieceReleasedOnFB$_n94$raw_n2ab 2 Y N
1488.names PieceReleasedOnFB$_n97$raw_n18f PieceReleasedOnFB _n94 PieceReleasedOnFB$_n94$raw_n2ab
1489- - 0 =PieceReleasedOnFB
1490- - 1 =PieceReleasedOnFB$_n97$raw_n18f
1491.mv PieceGrabbedFromDB$_n94$raw_n2af 2 Y N
1492.names PieceGrabbedFromDB PieceGrabbedFromDB$_n192$raw_n29f _n94 PieceGrabbedFromDB$_n94$raw_n2af
1493- - 0 =PieceGrabbedFromDB$_n192$raw_n29f
1494- - 1 =PieceGrabbedFromDB
1495.mv _n2b3 2 Y N
1496.names _n2b3
1497N
1498# PieceOutDB  == 1
1499.names PieceOutDB _n2b3 _n2b2
1500.def 0
1501- =PieceOutDB 1
1502.mv _n2b5 2 Y N
1503.names _n2b5
1504Y
1505# PieceGrabbedFromDB  == 0
1506.names PieceGrabbedFromDB$_n94$raw_n2af _n2b5 _n2b4
1507.def 0
1508- =PieceGrabbedFromDB$_n94$raw_n2af 1
1509# PieceOutDB  == 1 && PieceGrabbedFromDB  == 0
1510.names _n2b2 _n2b4 _n2b6
1511.def 0
15121 1 1
1513.names _n2b6 _n2b7
1514- =_n2b6
1515# PieceGrabbedFromDB  = 1
1516.mv PieceGrabbedFromDB$_n2b6_n2b8$true 2 Y N
1517.names PieceGrabbedFromDB$_n2b6_n2b8$true
1518N
1519# if/else (PieceOutDB  == 1 && PieceGrabbedFromDB  == 0)
1520.mv PieceGrabbedFromDB$_n2b6$raw_n2ba 2 Y N
1521.names PieceGrabbedFromDB$_n2b6_n2b8$true PieceGrabbedFromDB$_n94$raw_n2af _n2b6 PieceGrabbedFromDB$_n2b6$raw_n2ba
1522- - 0 =PieceGrabbedFromDB$_n94$raw_n2af
1523- - 1 =PieceGrabbedFromDB$_n2b6_n2b8$true
1524.mv _n2ce 2 Y N
1525.names _n2ce
1526N
1527# FBReady  == 1
1528.names FBReady _n2ce _n2cd
1529.def 0
1530- =FBReady 1
1531.mv _n2d0 2 Y N
1532.names _n2d0
1533Y
1534# PieceReleasedOnFB  == 0
1535.names PieceReleasedOnFB$_n94$raw_n2ab _n2d0 _n2cf
1536.def 0
1537- =PieceReleasedOnFB$_n94$raw_n2ab 1
1538# FBReady  == 1 && PieceReleasedOnFB  == 0
1539.names _n2cd _n2cf _n2d1
1540.def 0
15411 1 1
1542.names _n2d1 _n2d2
1543- =_n2d1
1544# PieceReleasedOnFB  = 1
1545.mv PieceReleasedOnFB$_n2d1_n2d3$true 2 Y N
1546.names PieceReleasedOnFB$_n2d1_n2d3$true
1547N
1548# if/else (FBReady  == 1 && PieceReleasedOnFB  == 0)
1549.mv PieceReleasedOnFB$_n2d1$raw_n2d6 2 Y N
1550.names PieceReleasedOnFB$_n2d1_n2d3$true PieceReleasedOnFB$_n94$raw_n2ab _n2d1 PieceReleasedOnFB$_n2d1$raw_n2d6
1551- - 0 =PieceReleasedOnFB$_n94$raw_n2ab
1552- - 1 =PieceReleasedOnFB$_n2d1_n2d3$true
1553# conflict arbitrators
1554.names _n94 _n97 _n9d _na5 _na8 _nd3 _nd8 _ndb _nde _n115 _n119 _n13e _n143 _n146 _n192 _n195 _n19a _n1a0 _n1a3 _n1b8 _n1bb _n1d6 _n20e _n213 _n217 _n233 _n236 _n25c _n261 _n2e8
1555.def 0
1556 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1
1557 1 1 0 1 1 - - - - - - - - - - - - - - - - - - - - - - - - 1
1558 1 1 0 1 0 - - - - - - - - - - - - - - - - - - - - - - - - 1
1559 1 1 0 0 - - - - - - - - - - - - - - - - - - - - - - - - - 1
1560 1 0 - - - 1 1 1 1 - - - - - - - - - - - - - - - - - - - - 1
1561 1 0 - - - 1 1 1 0 - - - - - - - - - - - - - - - - - - - - 1
1562 1 0 - - - 1 1 0 - - - - - - - - - - - - - - - - - - - - - 1
1563 1 0 - - - 1 - - - 1 1 - - - - - - - - - - - - - - - - - - 1
1564 1 0 - - - 1 - - - 1 0 - - - - - - - - - - - - - - - - - - 1
1565 1 0 - - - 0 - - - - - 1 1 1 - - - - - - - - - - - - - - - 1
1566 1 0 - - - 0 - - - - - 1 1 0 - - - - - - - - - - - - - - - 1
1567 0 - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - 1
1568 0 - - - - - - - - - - - - - 1 1 0 1 1 - - - - - - - - - - 1
1569 0 - - - - - - - - - - - - - 1 1 0 1 0 - - - - - - - - - - 1
1570 0 - - - - - - - - - - - - - 1 1 0 0 - 1 1 - - - - - - - - 1
1571 0 - - - - - - - - - - - - - 1 1 0 0 - 1 0 - - - - - - - - 1
1572 0 - - - - - - - - - - - - - 1 1 0 0 - 0 - 1 - - - - - - - 1
1573 0 - - - - - - - - - - - - - 1 1 0 0 - 0 - 0 - - - - - - - 1
1574 0 - - - - - - - - - - - - - 1 0 - - - - - - 1 1 1 - - - - 1
1575 0 - - - - - - - - - - - - - 1 0 - - - - - - 1 1 0 - - - - 1
1576 0 - - - - - - - - - - - - - 1 0 - - - - - - 1 - - 1 1 - - 1
1577 0 - - - - - - - - - - - - - 1 0 - - - - - - 1 - - 1 0 - - 1
1578 0 - - - - - - - - - - - - - 1 0 - - - - - - 0 - - - - 1 1 1
1579.mv _n2e9 3 GoUp GoDown Stop
1580.names _n2e8 VerticalMove$_n94$raw_n2a2 VerticalMove _n2e9
15811 - - =VerticalMove$_n94$raw_n2a2
15820 - - =VerticalMove
1583.names _n94 _n192 _n195 _n19a _n1a0 _n1b8 _n1bb _n20e _n233 _n236 _n2b7 _n2ef
1584.def 0
1585 0 1 1 0 0 1 1 - - - - 1
1586 0 1 0 - - - - 1 1 1 - 1
1587 - - - - - - - - - - 1 1
1588.mv _n2f0 2 Y N
1589.names _n2ef PieceGrabbedFromDB$_n2b6$raw_n2ba PieceGrabbedFromDB _n2f0
15901 - - =PieceGrabbedFromDB$_n2b6$raw_n2ba
15910 - - =PieceGrabbedFromDB
1592.names _n94 _n97 _nd3 _nd8 _ndb _nde _n13e _n143 _n146 _n2d2 _n2f6
1593.def 0
1594 1 0 1 1 1 1 - - - - 1
1595 1 0 0 - - - 1 1 1 - 1
1596 - - - - - - - - - 1 1
1597.mv _n2f7 2 Y N
1598.names _n2f6 PieceReleasedOnFB$_n2d1$raw_n2d6 PieceReleasedOnFB _n2f7
15991 - - =PieceReleasedOnFB$_n2d1$raw_n2d6
16000 - - =PieceReleasedOnFB
1601.names _n94 _n97 _n9d _na5 _na8 _nd3 _nd8 _ndb _nde _n115 _n119 _n13e _n143 _n146 _n192 _n195 _n19a _n1a0 _n1a3 _n1b8 _n1bb _n20e _n213 _n217 _n233 _n236 _n25c _n261 _n2fd
1602.def 0
1603 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - 1
1604 1 1 0 1 1 - - - - - - - - - - - - - - - - - - - - - - - 1
1605 1 1 0 1 0 - - - - - - - - - - - - - - - - - - - - - - - 1
1606 1 1 0 0 - - - - - - - - - - - - - - - - - - - - - - - - 1
1607 1 0 - - - 1 1 1 1 - - - - - - - - - - - - - - - - - - - 1
1608 1 0 - - - 1 1 1 0 - - - - - - - - - - - - - - - - - - - 1
1609 1 0 - - - 1 1 0 - - - - - - - - - - - - - - - - - - - - 1
1610 1 0 - - - 1 - - - 1 1 - - - - - - - - - - - - - - - - - 1
1611 1 0 - - - 1 - - - 1 0 - - - - - - - - - - - - - - - - - 1
1612 1 0 - - - 0 - - - - - 1 1 1 - - - - - - - - - - - - - - 1
1613 1 0 - - - 0 - - - - - 1 1 0 - - - - - - - - - - - - - - 1
1614 0 - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - 1
1615 0 - - - - - - - - - - - - - 1 1 0 1 1 - - - - - - - - - 1
1616 0 - - - - - - - - - - - - - 1 1 0 1 0 - - - - - - - - - 1
1617 0 - - - - - - - - - - - - - 1 1 0 0 - 1 1 - - - - - - - 1
1618 0 - - - - - - - - - - - - - 1 1 0 0 - 1 0 - - - - - - - 1
1619 0 - - - - - - - - - - - - - 1 1 0 0 - 0 - - - - - - - - 1
1620 0 - - - - - - - - - - - - - 1 0 - - - - - 1 1 1 - - - - 1
1621 0 - - - - - - - - - - - - - 1 0 - - - - - 1 1 0 - - - - 1
1622 0 - - - - - - - - - - - - - 1 0 - - - - - 1 - - 1 1 - - 1
1623 0 - - - - - - - - - - - - - 1 0 - - - - - 1 - - 1 0 - - 1
1624 0 - - - - - - - - - - - - - 1 0 - - - - - 0 - - - - 1 1 1
1625.mv _n2fe 3 GoLeft GoRight Stop
1626.names _n2fd HorizontalMove$_n94$raw_n2a4 HorizontalMove _n2fe
16271 - - =HorizontalMove$_n94$raw_n2a4
16280 - - =HorizontalMove
1629.names _n94 _n97 _nd3 _nd8 _ndb _nde _n115 _n13e _n143 _n146 _n192 _n195 _n19a _n1a0 _n1a3 _n1b8 _n1bb _n20e _n213 _n233 _n236 _n25c _n261 _n304
1630.def 0
1631 1 1 - - - - - - - - - - - - - - - - - - - - - 1
1632 1 0 1 1 1 1 - - - - - - - - - - - - - - - - - 1
1633 1 0 1 1 1 0 - - - - - - - - - - - - - - - - - 1
1634 1 0 1 1 0 - - - - - - - - - - - - - - - - - - 1
1635 1 0 1 - - - 1 - - - - - - - - - - - - - - - - 1
1636 1 0 0 - - - - 1 1 1 - - - - - - - - - - - - - 1
1637 1 0 0 - - - - 1 1 0 - - - - - - - - - - - - - 1
1638 0 - - - - - - - - - 1 1 1 - - - - - - - - - - 1
1639 0 - - - - - - - - - 1 1 0 1 1 - - - - - - - - 1
1640 0 - - - - - - - - - 1 1 0 1 0 - - - - - - - - 1
1641 0 - - - - - - - - - 1 1 0 0 - 1 1 - - - - - - 1
1642 0 - - - - - - - - - 1 1 0 0 - 1 0 - - - - - - 1
1643 0 - - - - - - - - - 1 1 0 0 - 0 - - - - - - - 1
1644 0 - - - - - - - - - 1 0 - - - - - 1 1 - - - - 1
1645 0 - - - - - - - - - 1 0 - - - - - 1 - 1 1 - - 1
1646 0 - - - - - - - - - 1 0 - - - - - 1 - 1 0 - - 1
1647 0 - - - - - - - - - 1 0 - - - - - 0 - - - 1 1 1
1648.mv _n305 2 Grab Free
1649.names _n304 Grip$_n94$raw_n2a5 Grip _n305
16501 - - =Grip$_n94$raw_n2a5
16510 - - =Grip
1652# non-blocking assignments
1653# latches
1654.r VerticalMove$raw_n90 VerticalMove
1655- =VerticalMove$raw_n90
1656.latch _n2e9 VerticalMove
1657.r PieceReleasedOnFB$raw_n92 PieceReleasedOnFB
1658- =PieceReleasedOnFB$raw_n92
1659.latch _n2f7 PieceReleasedOnFB
1660.r PieceGrabbedFromDB$raw_n93 PieceGrabbedFromDB
1661- =PieceGrabbedFromDB$raw_n93
1662.latch _n2f0 PieceGrabbedFromDB
1663.r HorizontalMove$raw_n8f HorizontalMove
1664- =HorizontalMove$raw_n8f
1665.latch _n2fe HorizontalMove
1666.r Grip$raw_n91 Grip
1667- =Grip$raw_n91
1668.latch _n305 Grip
1669# quasi-continuous assignment
1670.end
1671
1672
1673.model DepositBelt
1674# I/O ports
1675.inputs PieceGrabbedFromDB
1676.outputs DBelt3
1677.outputs DBelt2
1678.outputs DBelt1
1679.outputs DBelt0
1680.inputs PieceOutArm
1681.inputs DBReady
1682.inputs DBMotorSwitch
1683.mv PieceGrabbedFromDB 2 Y N
1684.mv DBelt3 2 E F
1685.mv DBelt2 2 E F
1686.mv DBelt1 2 E F
1687.mv DBelt0 2 E F
1688.mv PieceOutArm 2 Y N
1689.mv DBReady 2 Y N
1690.mv DBMotorSwitch 2 on off
1691# DBelt0  = 1
1692.mv DBelt0$raw_n30b 2 E F
1693.names DBelt0$raw_n30b
1694F
1695# DBelt1  = $NDset ( 0,1 )
1696.mv DBelt1$raw_n30c 2 E F
1697.mv DBelt1$raw_n30c$initial$_n30d 2 E F
1698.names DBelt1$raw_n30c$initial$_n30d
1699E
1700F
1701.names DBelt1$raw_n30c$initial$_n30d DBelt1$raw_n30c
1702- =DBelt1$raw_n30c$initial$_n30d
1703# DBelt2  = $NDset ( 0,1 )
1704.mv DBelt2$raw_n30e 2 E F
1705.mv DBelt2$raw_n30e$initial$_n30f 2 E F
1706.names DBelt2$raw_n30e$initial$_n30f
1707E
1708F
1709.names DBelt2$raw_n30e$initial$_n30f DBelt2$raw_n30e
1710- =DBelt2$raw_n30e$initial$_n30f
1711# DBelt3  = $NDset ( 0,1 )
1712.mv DBelt3$raw_n310 2 E F
1713.mv DBelt3$raw_n310$initial$_n311 2 E F
1714.names DBelt3$raw_n310$initial$_n311
1715E
1716F
1717.names DBelt3$raw_n310$initial$_n311 DBelt3$raw_n310
1718- =DBelt3$raw_n310$initial$_n311
1719# non-blocking assignments for initial
1720.mv _n313 2 on off
1721.names _n313
1722on
1723# DBMotorSwitch  == 0
1724.names DBMotorSwitch _n313 _n312
1725.def 0
1726- =DBMotorSwitch 1
1727.names _n312 _n314
1728- =_n312
1729# DBelt0  = DBelt1
1730.mv DBelt0$_n312_n315$true 2 E F
1731.names DBelt1 DBelt0$_n312_n315$true
1732- =DBelt1
1733# DBelt1  = DBelt2
1734.mv DBelt1$_n312_n316$true 2 E F
1735.names DBelt2 DBelt1$_n312_n316$true
1736- =DBelt2
1737# DBelt2  = DBelt3
1738.mv DBelt2$_n312_n317$true 2 E F
1739.names DBelt3 DBelt2$_n312_n317$true
1740- =DBelt3
1741# DBelt3  = 0
1742.mv DBelt3$_n312_n318$true 2 E F
1743.names DBelt3$_n312_n318$true
1744E
1745# if/else (DBMotorSwitch  == 0)
1746.mv DBelt3$_n312$raw_n321 2 E F
1747.names DBelt3$_n312_n318$true DBelt3 _n312 DBelt3$_n312$raw_n321
1748- - 0 =DBelt3
1749- - 1 =DBelt3$_n312_n318$true
1750.mv DBelt2$_n312$raw_n322 2 E F
1751.names DBelt2$_n312_n317$true DBelt2 _n312 DBelt2$_n312$raw_n322
1752- - 0 =DBelt2
1753- - 1 =DBelt2$_n312_n317$true
1754.mv DBelt1$_n312$raw_n323 2 E F
1755.names DBelt1$_n312_n316$true DBelt1 _n312 DBelt1$_n312$raw_n323
1756- - 0 =DBelt1
1757- - 1 =DBelt1$_n312_n316$true
1758.mv DBelt0$_n312$raw_n324 2 E F
1759.names DBelt0$_n312_n315$true DBelt0 _n312 DBelt0$_n312$raw_n324
1760- - 0 =DBelt0
1761- - 1 =DBelt0$_n312_n315$true
1762.mv _n326 2 E F
1763.names _n326
1764E
1765# DBelt3  == 0
1766.names DBelt3$_n312$raw_n321 _n326 _n325
1767.def 0
1768- =DBelt3$_n312$raw_n321 1
1769.mv _n328 2 Y N
1770.names _n328
1771Y
1772# PieceOutArm  == 0
1773.names PieceOutArm _n328 _n327
1774.def 0
1775- =PieceOutArm 1
1776# DBelt3  == 0 && PieceOutArm  == 0
1777.names _n325 _n327 _n329
1778.def 0
17791 1 1
1780.mv _n32b 2 Y N
1781.names _n32b
1782Y
1783# DBReady  == 0
1784.names DBReady _n32b _n32a
1785.def 0
1786- =DBReady 1
1787# DBelt3  == 0 && PieceOutArm  == 0 && DBReady  == 0
1788.names _n329 _n32a _n32c
1789.def 0
17901 1 1
1791.names _n32c _n32d
1792- =_n32c
1793# DBelt3  = 1
1794.mv DBelt3$_n32c_n32e$true 2 E F
1795.names DBelt3$_n32c_n32e$true
1796F
1797# if/else (DBelt3  == 0 && PieceOutArm  == 0 && DBReady  == 0)
1798.mv DBelt3$_n32c$raw_n32f 2 E F
1799.names DBelt3$_n32c_n32e$true DBelt3$_n312$raw_n321 _n32c DBelt3$_n32c$raw_n32f
1800- - 0 =DBelt3$_n312$raw_n321
1801- - 1 =DBelt3$_n32c_n32e$true
1802.mv _n340 2 Y N
1803.names _n340
1804Y
1805# PieceGrabbedFromDB  == 0
1806.names PieceGrabbedFromDB _n340 _n33f
1807.def 0
1808- =PieceGrabbedFromDB 1
1809.names _n33f _n341
1810- =_n33f
1811# DBelt0  = 0
1812.mv DBelt0$_n33f_n342$true 2 E F
1813.names DBelt0$_n33f_n342$true
1814E
1815# if/else (PieceGrabbedFromDB  == 0)
1816.mv DBelt0$_n33f$raw_n346 2 E F
1817.names DBelt0$_n33f_n342$true DBelt0$_n312$raw_n324 _n33f DBelt0$_n33f$raw_n346
1818- - 0 =DBelt0$_n312$raw_n324
1819- - 1 =DBelt0$_n33f_n342$true
1820# conflict arbitrators
1821.names _n314 _n32d _n353
1822.def 0
1823 1 - 1
1824 - 1 1
1825.mv _n354 2 E F
1826.names _n353 DBelt3$_n32c$raw_n32f DBelt3 _n354
18271 - - =DBelt3$_n32c$raw_n32f
18280 - - =DBelt3
1829.names _n314 _n359
1830.def 0
1831 1 1
1832.mv _n35a 2 E F
1833.names _n359 DBelt2$_n312$raw_n322 DBelt2 _n35a
18341 - - =DBelt2$_n312$raw_n322
18350 - - =DBelt2
1836.names _n314 _n35f
1837.def 0
1838 1 1
1839.mv _n360 2 E F
1840.names _n35f DBelt1$_n312$raw_n323 DBelt1 _n360
18411 - - =DBelt1$_n312$raw_n323
18420 - - =DBelt1
1843.names _n314 _n341 _n365
1844.def 0
1845 1 - 1
1846 - 1 1
1847.mv _n366 2 E F
1848.names _n365 DBelt0$_n33f$raw_n346 DBelt0 _n366
18491 - - =DBelt0$_n33f$raw_n346
18500 - - =DBelt0
1851# non-blocking assignments
1852# latches
1853.r DBelt3$raw_n310 DBelt3
1854- =DBelt3$raw_n310
1855.latch _n354 DBelt3
1856.r DBelt2$raw_n30e DBelt2
1857- =DBelt2$raw_n30e
1858.latch _n35a DBelt2
1859.r DBelt1$raw_n30c DBelt1
1860- =DBelt1$raw_n30c
1861.latch _n360 DBelt1
1862.r DBelt0$raw_n30b DBelt0
1863- =DBelt0$raw_n30b
1864.latch _n366 DBelt0
1865# quasi-continuous assignment
1866.end
1867
1868
1869.model DepositBeltCNTR
1870# I/O ports
1871.inputs PieceGrabbedFromDB
1872.inputs DBelt3
1873.inputs DBelt2
1874.inputs DBelt1
1875.inputs DBelt0
1876.outputs PieceOutDB
1877.inputs PieceOutArm
1878.outputs DBReady
1879.outputs DBMotorSwitch
1880.mv PieceGrabbedFromDB 2 Y N
1881.mv DBelt3 2 E F
1882.mv DBelt2 2 E F
1883.mv DBelt1 2 E F
1884.mv DBelt0 2 E F
1885.mv PieceOutDB 2 Y N
1886.mv PieceOutArm 2 Y N
1887.mv DBReady 2 Y N
1888.mv DBMotorSwitch 2 on off
1889# DBMotorSwitch  = 1
1890.mv DBMotorSwitch$raw_n36b 2 on off
1891.names DBMotorSwitch$raw_n36b
1892off
1893# DBReady  = 1
1894.mv DBReady$raw_n36c 2 Y N
1895.names DBReady$raw_n36c
1896N
1897# PieceOutDB  = 1
1898.mv PieceOutDB$raw_n36d 2 Y N
1899.names PieceOutDB$raw_n36d
1900N
1901# non-blocking assignments for initial
1902.mv _n36f 2 E F
1903.names _n36f
1904F
1905# DBelt0  == 1
1906.names DBelt0 _n36f _n36e
1907.def 0
1908- =DBelt0 1
1909.mv _n371 2 Y N
1910.names _n371
1911N
1912# PieceOutDB  == 1
1913.names PieceOutDB _n371 _n370
1914.def 0
1915- =PieceOutDB 1
1916# DBelt0  == 1 && PieceOutDB  == 1
1917.names _n36e _n370 _n372
1918.def 0
19191 1 1
1920.mv _n374 2 Y N
1921.names _n374
1922N
1923# PieceGrabbedFromDB  == 1
1924.names PieceGrabbedFromDB _n374 _n373
1925.def 0
1926- =PieceGrabbedFromDB 1
1927# DBelt0  == 1 && PieceOutDB  == 1 && PieceGrabbedFromDB  == 1
1928.names _n372 _n373 _n375
1929.def 0
19301 1 1
1931.mv _n377 2 E F
1932.names _n377
1933E
1934# DBelt0  == 0
1935.names DBelt0 _n377 _n376
1936.def 0
1937- =DBelt0 1
1938.mv _n379 2 E F
1939.names _n379
1940F
1941# DBelt1  == 1
1942.names DBelt1 _n379 _n378
1943.def 0
1944- =DBelt1 1
1945# DBelt0  == 0 && DBelt1  == 1
1946.names _n376 _n378 _n37a
1947.def 0
19481 1 1
1949.mv _n37c 2 on off
1950.names _n37c
1951on
1952# DBMotorSwitch  == 0
1953.names DBMotorSwitch _n37c _n37b
1954.def 0
1955- =DBMotorSwitch 1
1956# DBelt0  == 0 && DBelt1  == 1 && DBMotorSwitch  == 0
1957.names _n37a _n37b _n37d
1958.def 0
19591 1 1
1960.mv _n37f 2 Y N
1961.names _n37f
1962N
1963# PieceOutDB  == 1
1964.names PieceOutDB _n37f _n37e
1965.def 0
1966- =PieceOutDB 1
1967# DBelt0  == 0 && DBelt1  == 1 && DBMotorSwitch  == 0 && PieceOutDB  == 1
1968.names _n37d _n37e _n380
1969.def 0
19701 1 1
1971.mv _n382 2 Y N
1972.names _n382
1973N
1974# PieceGrabbedFromDB  == 1
1975.names PieceGrabbedFromDB _n382 _n381
1976.def 0
1977- =PieceGrabbedFromDB 1
1978# DBelt0  == 0 && DBelt1  == 1 && DBMotorSwitch  == 0 && PieceOutDB  == 1 && PieceGrabbedFromDB  == 1
1979.names _n380 _n381 _n383
1980.def 0
19811 1 1
1982# (DBelt0  == 1 && PieceOutDB  == 1 && PieceGrabbedFromDB  == 1) || (DBelt0  == 0 && DBelt1  == 1 && DBMotorSwitch  == 0 && PieceOutDB  == 1 && PieceGrabbedFromDB  == 1)
1983.names _n375 _n383 _n384
1984.def 1
19850 0 0
1986.names _n384 _n385
1987- =_n384
1988# PieceOutDB  = 0
1989.mv PieceOutDB$_n384_n386$true 2 Y N
1990.names PieceOutDB$_n384_n386$true
1991Y
1992# if/else ((DBelt0  == 1 && PieceOutDB  == 1 && PieceGrabbedFromDB  == 1) || (DBelt0  == 0 && DBelt1  == 1 && DBMotorSwitch  == 0 && PieceOutDB  == 1 && PieceGrabbedFromDB  == 1))
1993.mv PieceOutDB$_n384$raw_n389 2 Y N
1994.names PieceOutDB$_n384_n386$true PieceOutDB _n384 PieceOutDB$_n384$raw_n389
1995- - 0 =PieceOutDB
1996- - 1 =PieceOutDB$_n384_n386$true
1997.mv _n38b 2 Y N
1998.names _n38b
1999Y
2000# PieceOutDB  == 0
2001.names PieceOutDB$_n384$raw_n389 _n38b _n38a
2002.def 0
2003- =PieceOutDB$_n384$raw_n389 1
2004.mv _n38d 2 Y N
2005.names _n38d
2006Y
2007# PieceGrabbedFromDB  == 0
2008.names PieceGrabbedFromDB _n38d _n38c
2009.def 0
2010- =PieceGrabbedFromDB 1
2011# PieceOutDB  == 0 && PieceGrabbedFromDB  == 0
2012.names _n38a _n38c _n38e
2013.def 0
20141 1 1
2015.names _n38e _n38f
2016- =_n38e
2017# PieceOutDB  = 1
2018.mv PieceOutDB$_n38e_n390$true 2 Y N
2019.names PieceOutDB$_n38e_n390$true
2020N
2021# if/else (PieceOutDB  == 0 && PieceGrabbedFromDB  == 0)
2022.mv PieceOutDB$_n38e$raw_n391 2 Y N
2023.names PieceOutDB$_n38e_n390$true PieceOutDB$_n384$raw_n389 _n38e PieceOutDB$_n38e$raw_n391
2024- - 0 =PieceOutDB$_n384$raw_n389
2025- - 1 =PieceOutDB$_n38e_n390$true
2026.mv _n396 2 E F
2027.names _n396
2028E
2029# DBelt3  == 0
2030.names DBelt3 _n396 _n395
2031.def 0
2032- =DBelt3 1
2033.mv _n398 2 Y N
2034.names _n398
2035N
2036# PieceOutArm  == 1
2037.names PieceOutArm _n398 _n397
2038.def 0
2039- =PieceOutArm 1
2040# DBelt3  == 0 && PieceOutArm  == 1
2041.names _n395 _n397 _n399
2042.def 0
20431 1 1
2044.mv _n39b 2 Y N
2045.names _n39b
2046N
2047# DBReady  == 1
2048.names DBReady _n39b _n39a
2049.def 0
2050- =DBReady 1
2051# DBelt3  == 0 && PieceOutArm  == 1 && DBReady  == 1
2052.names _n399 _n39a _n39c
2053.def 0
20541 1 1
2055.names _n39c _n39d
2056- =_n39c
2057# DBReady  = 0
2058.mv DBReady$_n39c_n39e$true 2 Y N
2059.names DBReady$_n39c_n39e$true
2060Y
2061# if/else (DBelt3  == 0 && PieceOutArm  == 1 && DBReady  == 1)
2062.mv DBReady$_n39c$raw_n3a4 2 Y N
2063.names DBReady$_n39c_n39e$true DBReady _n39c DBReady$_n39c$raw_n3a4
2064- - 0 =DBReady
2065- - 1 =DBReady$_n39c_n39e$true
2066.mv _n3a7 2 Y N
2067.names _n3a7
2068Y
2069# PieceOutArm  == 0
2070.names PieceOutArm _n3a7 _n3a6
2071.def 0
2072- =PieceOutArm 1
2073.mv _n3a9 2 Y N
2074.names _n3a9
2075Y
2076# DBReady  == 0
2077.names DBReady$_n39c$raw_n3a4 _n3a9 _n3a8
2078.def 0
2079- =DBReady$_n39c$raw_n3a4 1
2080# PieceOutArm  == 0 && DBReady  == 0
2081.names _n3a6 _n3a8 _n3aa
2082.def 0
20831 1 1
2084.names _n3aa _n3ab
2085- =_n3aa
2086# DBReady  = 1
2087.mv DBReady$_n3aa_n3ac$true 2 Y N
2088.names DBReady$_n3aa_n3ac$true
2089N
2090# DBMotorSwitch  = 0
2091.mv DBMotorSwitch$_n3aa_n3ad$true 2 on off
2092.names DBMotorSwitch$_n3aa_n3ad$true
2093on
2094# if/else (PieceOutArm  == 0 && DBReady  == 0)
2095.mv DBReady$_n3aa$raw_n3b0 2 Y N
2096.names DBReady$_n3aa_n3ac$true DBReady$_n39c$raw_n3a4 _n3aa DBReady$_n3aa$raw_n3b0
2097- - 0 =DBReady$_n39c$raw_n3a4
2098- - 1 =DBReady$_n3aa_n3ac$true
2099.mv DBMotorSwitch$_n3aa$raw_n3b5 2 on off
2100.names DBMotorSwitch$_n3aa_n3ad$true DBMotorSwitch _n3aa DBMotorSwitch$_n3aa$raw_n3b5
2101- - 0 =DBMotorSwitch
2102- - 1 =DBMotorSwitch$_n3aa_n3ad$true
2103.mv _n3ba 2 E F
2104.names _n3ba
2105F
2106# DBelt0  == 1
2107.names DBelt0 _n3ba _n3b9
2108.def 0
2109- =DBelt0 1
2110.names _n3b9 _n3bb
2111- =_n3b9
2112# DBMotorSwitch  = 1
2113.mv DBMotorSwitch$_n3b9_n3bc$true 2 on off
2114.names DBMotorSwitch$_n3b9_n3bc$true
2115off
2116# if/else (DBelt0  == 1)
2117.mv DBMotorSwitch$_n3b9$raw_n3bf 2 on off
2118.names DBMotorSwitch$_n3b9_n3bc$true DBMotorSwitch$_n3aa$raw_n3b5 _n3b9 DBMotorSwitch$_n3b9$raw_n3bf
2119- - 0 =DBMotorSwitch$_n3aa$raw_n3b5
2120- - 1 =DBMotorSwitch$_n3b9_n3bc$true
2121.mv _n3ca 2 E F
2122.names _n3ca
2123E
2124# DBelt0  == 0
2125.names DBelt0 _n3ca _n3c9
2126.def 0
2127- =DBelt0 1
2128.mv _n3cc 2 on off
2129.names _n3cc
2130off
2131# DBMotorSwitch  == 1
2132.names DBMotorSwitch$_n3b9$raw_n3bf _n3cc _n3cb
2133.def 0
2134- =DBMotorSwitch$_n3b9$raw_n3bf 1
2135# DBelt0  == 0 && DBMotorSwitch  == 1
2136.names _n3c9 _n3cb _n3cd
2137.def 0
21381 1 1
2139.mv _n3cf 2 E F
2140.names _n3cf
2141F
2142# DBelt1  == 1
2143.names DBelt1 _n3cf _n3ce
2144.def 0
2145- =DBelt1 1
2146.mv _n3d1 2 E F
2147.names _n3d1
2148F
2149# DBelt2  == 1
2150.names DBelt2 _n3d1 _n3d0
2151.def 0
2152- =DBelt2 1
2153# DBelt1  == 1 || DBelt2  == 1
2154.names _n3ce _n3d0 _n3d2
2155.def 1
21560 0 0
2157.mv _n3d4 2 E F
2158.names _n3d4
2159F
2160# DBelt3  == 1
2161.names DBelt3 _n3d4 _n3d3
2162.def 0
2163- =DBelt3 1
2164# DBelt1  == 1 || DBelt2  == 1 || DBelt3  == 1
2165.names _n3d2 _n3d3 _n3d5
2166.def 1
21670 0 0
2168# DBelt0  == 0 && DBMotorSwitch  == 1 && (DBelt1  == 1 || DBelt2  == 1 || DBelt3  == 1)
2169.names _n3cd _n3d5 _n3d6
2170.def 0
21711 1 1
2172.names _n3d6 _n3d7
2173- =_n3d6
2174# DBMotorSwitch  = 0
2175.mv DBMotorSwitch$_n3d6_n3d8$true 2 on off
2176.names DBMotorSwitch$_n3d6_n3d8$true
2177on
2178.mv _n3da 2 E F
2179.names _n3da
2180E
2181# DBelt0  == 0
2182.names DBelt0 _n3da _n3d9
2183.def 0
2184- =DBelt0 1
2185.mv _n3dc 2 on off
2186.names _n3dc
2187on
2188# DBMotorSwitch  == 0
2189.names DBMotorSwitch$_n3b9$raw_n3bf _n3dc _n3db
2190.def 0
2191- =DBMotorSwitch$_n3b9$raw_n3bf 1
2192# DBelt0  == 0 && DBMotorSwitch  == 0
2193.names _n3d9 _n3db _n3dd
2194.def 0
21951 1 1
2196.mv _n3df 2 E F
2197.names _n3df
2198F
2199# DBelt1  == 1
2200.names DBelt1 _n3df _n3de
2201.def 0
2202- =DBelt1 1
2203# DBelt0  == 0 && DBMotorSwitch  == 0 && DBelt1  == 1
2204.names _n3dd _n3de _n3e0
2205.def 0
22061 1 1
2207.names _n3e0 _n3e1
2208- =_n3e0
2209# DBMotorSwitch  = 1
2210.mv DBMotorSwitch$_n3e0_n3e2$true 2 on off
2211.names DBMotorSwitch$_n3e0_n3e2$true
2212off
2213# if/else (DBelt0  == 0 && DBMotorSwitch  == 0 && DBelt1  == 1)
2214.mv DBMotorSwitch$_n3e0$raw_n3e4 2 on off
2215.names DBMotorSwitch$_n3e0_n3e2$true DBMotorSwitch$_n3b9$raw_n3bf _n3e0 DBMotorSwitch$_n3e0$raw_n3e4
2216- - 0 =DBMotorSwitch$_n3b9$raw_n3bf
2217- - 1 =DBMotorSwitch$_n3e0_n3e2$true
2218# if/else (DBelt0  == 0 && DBMotorSwitch  == 1 && (DBelt1  == 1 || DBelt2  == 1 || DBelt3  == 1))
2219.mv DBMotorSwitch$_n3d6$raw_n3f1 2 on off
2220.names DBMotorSwitch$_n3d6_n3d8$true DBMotorSwitch$_n3e0$raw_n3e4 _n3d6 DBMotorSwitch$_n3d6$raw_n3f1
2221- - 0 =DBMotorSwitch$_n3e0$raw_n3e4
2222- - 1 =DBMotorSwitch$_n3d6_n3d8$true
2223# conflict arbitrators
2224.names _n385 _n38f _n3fb
2225.def 0
2226 1 - 1
2227 - 1 1
2228.mv _n3fc 2 Y N
2229.names _n3fb PieceOutDB$_n38e$raw_n391 PieceOutDB _n3fc
22301 - - =PieceOutDB$_n38e$raw_n391
22310 - - =PieceOutDB
2232.names _n39d _n3ab _n400
2233.def 0
2234 1 - 1
2235 - 1 1
2236.mv _n401 2 Y N
2237.names _n400 DBReady$_n3aa$raw_n3b0 DBReady _n401
22381 - - =DBReady$_n3aa$raw_n3b0
22390 - - =DBReady
2240.names _n3ab _n3bb _n3d7 _n3e1 _n405
2241.def 0
2242 1 - - - 1
2243 - 1 - - 1
2244 - - 1 - 1
2245 - - 0 1 1
2246.mv _n406 2 on off
2247.names _n405 DBMotorSwitch$_n3d6$raw_n3f1 DBMotorSwitch _n406
22481 - - =DBMotorSwitch$_n3d6$raw_n3f1
22490 - - =DBMotorSwitch
2250# non-blocking assignments
2251# latches
2252.r PieceOutDB$raw_n36d PieceOutDB
2253- =PieceOutDB$raw_n36d
2254.latch _n3fc PieceOutDB
2255.r DBMotorSwitch$raw_n36b DBMotorSwitch
2256- =DBMotorSwitch$raw_n36b
2257.latch _n406 DBMotorSwitch
2258.r DBReady$raw_n36c DBReady
2259- =DBReady$raw_n36c
2260.latch _n401 DBReady
2261# quasi-continuous assignment
2262.end
2263
2264
2265.model FeedBelt
2266# I/O ports
2267.outputs FBelt3
2268.outputs FBelt2
2269.inputs FBReady
2270.inputs PieceReleasedOnFB
2271.outputs FBelt1
2272.outputs FBelt0
2273.inputs PieceGrabbedFromFB
2274.inputs FBMotorSwitch
2275.mv FBelt3 2 E F
2276.mv FBelt2 2 E F
2277.mv FBReady 2 Y N
2278.mv PieceReleasedOnFB 2 Y N
2279.mv FBelt1 2 E F
2280.mv FBelt0 2 E F
2281.mv PieceGrabbedFromFB 2 Y N
2282.mv FBMotorSwitch 2 on off
2283# FBelt0  = $NDset ( 0,1 )
2284.mv FBelt0$raw_n40a 2 E F
2285.mv FBelt0$raw_n40a$initial$_n40b 2 E F
2286.names FBelt0$raw_n40a$initial$_n40b
2287E
2288F
2289.names FBelt0$raw_n40a$initial$_n40b FBelt0$raw_n40a
2290- =FBelt0$raw_n40a$initial$_n40b
2291# FBelt1  = $NDset ( 0,1 )
2292.mv FBelt1$raw_n40c 2 E F
2293.mv FBelt1$raw_n40c$initial$_n40d 2 E F
2294.names FBelt1$raw_n40c$initial$_n40d
2295E
2296F
2297.names FBelt1$raw_n40c$initial$_n40d FBelt1$raw_n40c
2298- =FBelt1$raw_n40c$initial$_n40d
2299# FBelt2  = $NDset ( 0,1 )
2300.mv FBelt2$raw_n40e 2 E F
2301.mv FBelt2$raw_n40e$initial$_n40f 2 E F
2302.names FBelt2$raw_n40e$initial$_n40f
2303E
2304F
2305.names FBelt2$raw_n40e$initial$_n40f FBelt2$raw_n40e
2306- =FBelt2$raw_n40e$initial$_n40f
2307# FBelt3  = $NDset ( 0,1 )
2308.mv FBelt3$raw_n410 2 E F
2309.mv FBelt3$raw_n410$initial$_n411 2 E F
2310.names FBelt3$raw_n410$initial$_n411
2311E
2312F
2313.names FBelt3$raw_n410$initial$_n411 FBelt3$raw_n410
2314- =FBelt3$raw_n410$initial$_n411
2315# non-blocking assignments for initial
2316.mv _n413 2 on off
2317.names _n413
2318on
2319# FBMotorSwitch  == 0
2320.names FBMotorSwitch _n413 _n412
2321.def 0
2322- =FBMotorSwitch 1
2323.names _n412 _n414
2324- =_n412
2325# FBelt0  = FBelt1
2326.mv FBelt0$_n412_n415$true 2 E F
2327.names FBelt1 FBelt0$_n412_n415$true
2328- =FBelt1
2329# FBelt1  = FBelt2
2330.mv FBelt1$_n412_n416$true 2 E F
2331.names FBelt2 FBelt1$_n412_n416$true
2332- =FBelt2
2333# FBelt2  = FBelt3
2334.mv FBelt2$_n412_n417$true 2 E F
2335.names FBelt3 FBelt2$_n412_n417$true
2336- =FBelt3
2337# FBelt3  = 0
2338.mv FBelt3$_n412_n418$true 2 E F
2339.names FBelt3$_n412_n418$true
2340E
2341# if/else (FBMotorSwitch  == 0)
2342.mv FBelt3$_n412$raw_n421 2 E F
2343.names FBelt3$_n412_n418$true FBelt3 _n412 FBelt3$_n412$raw_n421
2344- - 0 =FBelt3
2345- - 1 =FBelt3$_n412_n418$true
2346.mv FBelt2$_n412$raw_n422 2 E F
2347.names FBelt2$_n412_n417$true FBelt2 _n412 FBelt2$_n412$raw_n422
2348- - 0 =FBelt2
2349- - 1 =FBelt2$_n412_n417$true
2350.mv FBelt1$_n412$raw_n423 2 E F
2351.names FBelt1$_n412_n416$true FBelt1 _n412 FBelt1$_n412$raw_n423
2352- - 0 =FBelt1
2353- - 1 =FBelt1$_n412_n416$true
2354.mv FBelt0$_n412$raw_n424 2 E F
2355.names FBelt0$_n412_n415$true FBelt0 _n412 FBelt0$_n412$raw_n424
2356- - 0 =FBelt0
2357- - 1 =FBelt0$_n412_n415$true
2358.mv _n426 2 E F
2359.names _n426
2360E
2361# FBelt3  == 0
2362.names FBelt3$_n412$raw_n421 _n426 _n425
2363.def 0
2364- =FBelt3$_n412$raw_n421 1
2365.mv _n428 2 Y N
2366.names _n428
2367Y
2368# PieceReleasedOnFB  == 0
2369.names PieceReleasedOnFB _n428 _n427
2370.def 0
2371- =PieceReleasedOnFB 1
2372# FBelt3  == 0 && PieceReleasedOnFB  == 0
2373.names _n425 _n427 _n429
2374.def 0
23751 1 1
2376.mv _n42b 2 Y N
2377.names _n42b
2378Y
2379# FBReady  == 0
2380.names FBReady _n42b _n42a
2381.def 0
2382- =FBReady 1
2383# FBelt3  == 0 && PieceReleasedOnFB  == 0 && FBReady  == 0
2384.names _n429 _n42a _n42c
2385.def 0
23861 1 1
2387.names _n42c _n42d
2388- =_n42c
2389# FBelt3  = 1
2390.mv FBelt3$_n42c_n42e$true 2 E F
2391.names FBelt3$_n42c_n42e$true
2392F
2393# if/else (FBelt3  == 0 && PieceReleasedOnFB  == 0 && FBReady  == 0)
2394.mv FBelt3$_n42c$raw_n42f 2 E F
2395.names FBelt3$_n42c_n42e$true FBelt3$_n412$raw_n421 _n42c FBelt3$_n42c$raw_n42f
2396- - 0 =FBelt3$_n412$raw_n421
2397- - 1 =FBelt3$_n42c_n42e$true
2398.mv _n440 2 Y N
2399.names _n440
2400Y
2401# PieceGrabbedFromFB  == 0
2402.names PieceGrabbedFromFB _n440 _n43f
2403.def 0
2404- =PieceGrabbedFromFB 1
2405.names _n43f _n441
2406- =_n43f
2407# FBelt0  = 0
2408.mv FBelt0$_n43f_n442$true 2 E F
2409.names FBelt0$_n43f_n442$true
2410E
2411# if/else (PieceGrabbedFromFB  == 0)
2412.mv FBelt0$_n43f$raw_n446 2 E F
2413.names FBelt0$_n43f_n442$true FBelt0$_n412$raw_n424 _n43f FBelt0$_n43f$raw_n446
2414- - 0 =FBelt0$_n412$raw_n424
2415- - 1 =FBelt0$_n43f_n442$true
2416# conflict arbitrators
2417.names _n414 _n42d _n453
2418.def 0
2419 1 - 1
2420 - 1 1
2421.mv _n454 2 E F
2422.names _n453 FBelt3$_n42c$raw_n42f FBelt3 _n454
24231 - - =FBelt3$_n42c$raw_n42f
24240 - - =FBelt3
2425.names _n414 _n459
2426.def 0
2427 1 1
2428.mv _n45a 2 E F
2429.names _n459 FBelt2$_n412$raw_n422 FBelt2 _n45a
24301 - - =FBelt2$_n412$raw_n422
24310 - - =FBelt2
2432.names _n414 _n45f
2433.def 0
2434 1 1
2435.mv _n460 2 E F
2436.names _n45f FBelt1$_n412$raw_n423 FBelt1 _n460
24371 - - =FBelt1$_n412$raw_n423
24380 - - =FBelt1
2439.names _n414 _n441 _n465
2440.def 0
2441 1 - 1
2442 - 1 1
2443.mv _n466 2 E F
2444.names _n465 FBelt0$_n43f$raw_n446 FBelt0 _n466
24451 - - =FBelt0$_n43f$raw_n446
24460 - - =FBelt0
2447# non-blocking assignments
2448# latches
2449.r FBelt3$raw_n410 FBelt3
2450- =FBelt3$raw_n410
2451.latch _n454 FBelt3
2452.r FBelt2$raw_n40e FBelt2
2453- =FBelt2$raw_n40e
2454.latch _n45a FBelt2
2455.r FBelt1$raw_n40c FBelt1
2456- =FBelt1$raw_n40c
2457.latch _n460 FBelt1
2458.r FBelt0$raw_n40a FBelt0
2459- =FBelt0$raw_n40a
2460.latch _n466 FBelt0
2461# quasi-continuous assignment
2462.end
2463
2464
2465.model FeedBeltCNTR
2466# I/O ports
2467.inputs FBelt3
2468.outputs FBReady
2469.inputs PieceReleasedOnFB
2470.inputs FBelt2
2471.inputs FBelt1
2472.inputs FBelt0
2473.outputs PieceOutFB
2474.outputs FBMotorSwitch
2475.inputs PieceGrabbedFromFB
2476.mv FBelt3 2 E F
2477.mv FBReady 2 Y N
2478.mv PieceReleasedOnFB 2 Y N
2479.mv FBelt2 2 E F
2480.mv FBelt1 2 E F
2481.mv FBelt0 2 E F
2482.mv PieceOutFB 2 Y N
2483.mv FBMotorSwitch 2 on off
2484.mv PieceGrabbedFromFB 2 Y N
2485# FBMotorSwitch  = 1
2486.mv FBMotorSwitch$raw_n46b 2 on off
2487.names FBMotorSwitch$raw_n46b
2488off
2489# FBReady  = 1
2490.mv FBReady$raw_n46c 2 Y N
2491.names FBReady$raw_n46c
2492N
2493# PieceOutFB  = 1
2494.mv PieceOutFB$raw_n46d 2 Y N
2495.names PieceOutFB$raw_n46d
2496N
2497# non-blocking assignments for initial
2498.mv _n46f 2 E F
2499.names _n46f
2500F
2501# FBelt0  == 1
2502.names FBelt0 _n46f _n46e
2503.def 0
2504- =FBelt0 1
2505.mv _n471 2 Y N
2506.names _n471
2507N
2508# PieceOutFB  == 1
2509.names PieceOutFB _n471 _n470
2510.def 0
2511- =PieceOutFB 1
2512# FBelt0  == 1 && PieceOutFB  == 1
2513.names _n46e _n470 _n472
2514.def 0
25151 1 1
2516.mv _n474 2 Y N
2517.names _n474
2518N
2519# PieceGrabbedFromFB  == 1
2520.names PieceGrabbedFromFB _n474 _n473
2521.def 0
2522- =PieceGrabbedFromFB 1
2523# FBelt0  == 1 && PieceOutFB  == 1 && PieceGrabbedFromFB  == 1
2524.names _n472 _n473 _n475
2525.def 0
25261 1 1
2527.mv _n477 2 E F
2528.names _n477
2529E
2530# FBelt0  == 0
2531.names FBelt0 _n477 _n476
2532.def 0
2533- =FBelt0 1
2534.mv _n479 2 E F
2535.names _n479
2536F
2537# FBelt1  == 1
2538.names FBelt1 _n479 _n478
2539.def 0
2540- =FBelt1 1
2541# FBelt0  == 0 && FBelt1  == 1
2542.names _n476 _n478 _n47a
2543.def 0
25441 1 1
2545.mv _n47c 2 on off
2546.names _n47c
2547on
2548# FBMotorSwitch  == 0
2549.names FBMotorSwitch _n47c _n47b
2550.def 0
2551- =FBMotorSwitch 1
2552# FBelt0  == 0 && FBelt1  == 1 && FBMotorSwitch  == 0
2553.names _n47a _n47b _n47d
2554.def 0
25551 1 1
2556.mv _n47f 2 Y N
2557.names _n47f
2558N
2559# PieceOutFB  == 1
2560.names PieceOutFB _n47f _n47e
2561.def 0
2562- =PieceOutFB 1
2563# FBelt0  == 0 && FBelt1  == 1 && FBMotorSwitch  == 0 && PieceOutFB  == 1
2564.names _n47d _n47e _n480
2565.def 0
25661 1 1
2567.mv _n482 2 Y N
2568.names _n482
2569N
2570# PieceGrabbedFromFB  == 1
2571.names PieceGrabbedFromFB _n482 _n481
2572.def 0
2573- =PieceGrabbedFromFB 1
2574# FBelt0  == 0 && FBelt1  == 1 && FBMotorSwitch  == 0 && PieceOutFB  == 1 && PieceGrabbedFromFB  == 1
2575.names _n480 _n481 _n483
2576.def 0
25771 1 1
2578# (FBelt0  == 1 && PieceOutFB  == 1 && PieceGrabbedFromFB  == 1) || (FBelt0  == 0 && FBelt1  == 1 && FBMotorSwitch  == 0 && PieceOutFB  == 1 && PieceGrabbedFromFB  == 1)
2579.names _n475 _n483 _n484
2580.def 1
25810 0 0
2582.names _n484 _n485
2583- =_n484
2584# PieceOutFB  = 0
2585.mv PieceOutFB$_n484_n486$true 2 Y N
2586.names PieceOutFB$_n484_n486$true
2587Y
2588# if/else ((FBelt0  == 1 && PieceOutFB  == 1 && PieceGrabbedFromFB  == 1) || (FBelt0  == 0 && FBelt1  == 1 && FBMotorSwitch  == 0 && PieceOutFB  == 1 && PieceGrabbedFromFB  == 1))
2589.mv PieceOutFB$_n484$raw_n489 2 Y N
2590.names PieceOutFB$_n484_n486$true PieceOutFB _n484 PieceOutFB$_n484$raw_n489
2591- - 0 =PieceOutFB
2592- - 1 =PieceOutFB$_n484_n486$true
2593.mv _n48b 2 Y N
2594.names _n48b
2595Y
2596# PieceOutFB  == 0
2597.names PieceOutFB$_n484$raw_n489 _n48b _n48a
2598.def 0
2599- =PieceOutFB$_n484$raw_n489 1
2600.mv _n48d 2 Y N
2601.names _n48d
2602Y
2603# PieceGrabbedFromFB  == 0
2604.names PieceGrabbedFromFB _n48d _n48c
2605.def 0
2606- =PieceGrabbedFromFB 1
2607# PieceOutFB  == 0 && PieceGrabbedFromFB  == 0
2608.names _n48a _n48c _n48e
2609.def 0
26101 1 1
2611.names _n48e _n48f
2612- =_n48e
2613# PieceOutFB  = 1
2614.mv PieceOutFB$_n48e_n490$true 2 Y N
2615.names PieceOutFB$_n48e_n490$true
2616N
2617# if/else (PieceOutFB  == 0 && PieceGrabbedFromFB  == 0)
2618.mv PieceOutFB$_n48e$raw_n491 2 Y N
2619.names PieceOutFB$_n48e_n490$true PieceOutFB$_n484$raw_n489 _n48e PieceOutFB$_n48e$raw_n491
2620- - 0 =PieceOutFB$_n484$raw_n489
2621- - 1 =PieceOutFB$_n48e_n490$true
2622.mv _n496 2 E F
2623.names _n496
2624E
2625# FBelt3  == 0
2626.names FBelt3 _n496 _n495
2627.def 0
2628- =FBelt3 1
2629.mv _n498 2 Y N
2630.names _n498
2631N
2632# PieceReleasedOnFB  == 1
2633.names PieceReleasedOnFB _n498 _n497
2634.def 0
2635- =PieceReleasedOnFB 1
2636# FBelt3  == 0 && PieceReleasedOnFB  == 1
2637.names _n495 _n497 _n499
2638.def 0
26391 1 1
2640.mv _n49b 2 Y N
2641.names _n49b
2642N
2643# FBReady  == 1
2644.names FBReady _n49b _n49a
2645.def 0
2646- =FBReady 1
2647# FBelt3  == 0 && PieceReleasedOnFB  == 1 && FBReady  == 1
2648.names _n499 _n49a _n49c
2649.def 0
26501 1 1
2651.names _n49c _n49d
2652- =_n49c
2653# FBReady  = 0
2654.mv FBReady$_n49c_n49e$true 2 Y N
2655.names FBReady$_n49c_n49e$true
2656Y
2657# if/else (FBelt3  == 0 && PieceReleasedOnFB  == 1 && FBReady  == 1)
2658.mv FBReady$_n49c$raw_n4a3 2 Y N
2659.names FBReady$_n49c_n49e$true FBReady _n49c FBReady$_n49c$raw_n4a3
2660- - 0 =FBReady
2661- - 1 =FBReady$_n49c_n49e$true
2662.mv _n4a7 2 Y N
2663.names _n4a7
2664Y
2665# PieceReleasedOnFB  == 0
2666.names PieceReleasedOnFB _n4a7 _n4a6
2667.def 0
2668- =PieceReleasedOnFB 1
2669.mv _n4a9 2 Y N
2670.names _n4a9
2671Y
2672# FBReady  == 0
2673.names FBReady$_n49c$raw_n4a3 _n4a9 _n4a8
2674.def 0
2675- =FBReady$_n49c$raw_n4a3 1
2676# PieceReleasedOnFB  == 0 && FBReady  == 0
2677.names _n4a6 _n4a8 _n4aa
2678.def 0
26791 1 1
2680.names _n4aa _n4ab
2681- =_n4aa
2682# FBReady  = 1
2683.mv FBReady$_n4aa_n4ac$true 2 Y N
2684.names FBReady$_n4aa_n4ac$true
2685N
2686# FBMotorSwitch  = 0
2687.mv FBMotorSwitch$_n4aa_n4ad$true 2 on off
2688.names FBMotorSwitch$_n4aa_n4ad$true
2689on
2690# if/else (PieceReleasedOnFB  == 0 && FBReady  == 0)
2691.mv FBReady$_n4aa$raw_n4ae 2 Y N
2692.names FBReady$_n4aa_n4ac$true FBReady$_n49c$raw_n4a3 _n4aa FBReady$_n4aa$raw_n4ae
2693- - 0 =FBReady$_n49c$raw_n4a3
2694- - 1 =FBReady$_n4aa_n4ac$true
2695.mv FBMotorSwitch$_n4aa$raw_n4b5 2 on off
2696.names FBMotorSwitch$_n4aa_n4ad$true FBMotorSwitch _n4aa FBMotorSwitch$_n4aa$raw_n4b5
2697- - 0 =FBMotorSwitch
2698- - 1 =FBMotorSwitch$_n4aa_n4ad$true
2699.mv _n4ba 2 E F
2700.names _n4ba
2701F
2702# FBelt0  == 1
2703.names FBelt0 _n4ba _n4b9
2704.def 0
2705- =FBelt0 1
2706.names _n4b9 _n4bb
2707- =_n4b9
2708# FBMotorSwitch  = 1
2709.mv FBMotorSwitch$_n4b9_n4bc$true 2 on off
2710.names FBMotorSwitch$_n4b9_n4bc$true
2711off
2712# if/else (FBelt0  == 1)
2713.mv FBMotorSwitch$_n4b9$raw_n4bf 2 on off
2714.names FBMotorSwitch$_n4b9_n4bc$true FBMotorSwitch$_n4aa$raw_n4b5 _n4b9 FBMotorSwitch$_n4b9$raw_n4bf
2715- - 0 =FBMotorSwitch$_n4aa$raw_n4b5
2716- - 1 =FBMotorSwitch$_n4b9_n4bc$true
2717.mv _n4ca 2 E F
2718.names _n4ca
2719E
2720# FBelt0  == 0
2721.names FBelt0 _n4ca _n4c9
2722.def 0
2723- =FBelt0 1
2724.mv _n4cc 2 on off
2725.names _n4cc
2726off
2727# FBMotorSwitch  == 1
2728.names FBMotorSwitch$_n4b9$raw_n4bf _n4cc _n4cb
2729.def 0
2730- =FBMotorSwitch$_n4b9$raw_n4bf 1
2731# FBelt0  == 0 && FBMotorSwitch  == 1
2732.names _n4c9 _n4cb _n4cd
2733.def 0
27341 1 1
2735.mv _n4cf 2 E F
2736.names _n4cf
2737F
2738# FBelt1  == 1
2739.names FBelt1 _n4cf _n4ce
2740.def 0
2741- =FBelt1 1
2742.mv _n4d1 2 E F
2743.names _n4d1
2744F
2745# FBelt2  == 1
2746.names FBelt2 _n4d1 _n4d0
2747.def 0
2748- =FBelt2 1
2749# FBelt1  == 1 || FBelt2  == 1
2750.names _n4ce _n4d0 _n4d2
2751.def 1
27520 0 0
2753.mv _n4d4 2 E F
2754.names _n4d4
2755F
2756# FBelt3  == 1
2757.names FBelt3 _n4d4 _n4d3
2758.def 0
2759- =FBelt3 1
2760# FBelt1  == 1 || FBelt2  == 1 || FBelt3  == 1
2761.names _n4d2 _n4d3 _n4d5
2762.def 1
27630 0 0
2764# FBelt0  == 0 && FBMotorSwitch  == 1 && (FBelt1  == 1 || FBelt2  == 1 || FBelt3  == 1)
2765.names _n4cd _n4d5 _n4d6
2766.def 0
27671 1 1
2768.names _n4d6 _n4d7
2769- =_n4d6
2770# FBMotorSwitch  = 0
2771.mv FBMotorSwitch$_n4d6_n4d8$true 2 on off
2772.names FBMotorSwitch$_n4d6_n4d8$true
2773on
2774.mv _n4da 2 E F
2775.names _n4da
2776E
2777# FBelt0  == 0
2778.names FBelt0 _n4da _n4d9
2779.def 0
2780- =FBelt0 1
2781.mv _n4dc 2 on off
2782.names _n4dc
2783on
2784# FBMotorSwitch  == 0
2785.names FBMotorSwitch$_n4b9$raw_n4bf _n4dc _n4db
2786.def 0
2787- =FBMotorSwitch$_n4b9$raw_n4bf 1
2788# FBelt0  == 0 && FBMotorSwitch  == 0
2789.names _n4d9 _n4db _n4dd
2790.def 0
27911 1 1
2792.mv _n4df 2 E F
2793.names _n4df
2794F
2795# FBelt1  == 1
2796.names FBelt1 _n4df _n4de
2797.def 0
2798- =FBelt1 1
2799# FBelt0  == 0 && FBMotorSwitch  == 0 && FBelt1  == 1
2800.names _n4dd _n4de _n4e0
2801.def 0
28021 1 1
2803.names _n4e0 _n4e1
2804- =_n4e0
2805# FBMotorSwitch  = 1
2806.mv FBMotorSwitch$_n4e0_n4e2$true 2 on off
2807.names FBMotorSwitch$_n4e0_n4e2$true
2808off
2809# if/else (FBelt0  == 0 && FBMotorSwitch  == 0 && FBelt1  == 1)
2810.mv FBMotorSwitch$_n4e0$raw_n4e4 2 on off
2811.names FBMotorSwitch$_n4e0_n4e2$true FBMotorSwitch$_n4b9$raw_n4bf _n4e0 FBMotorSwitch$_n4e0$raw_n4e4
2812- - 0 =FBMotorSwitch$_n4b9$raw_n4bf
2813- - 1 =FBMotorSwitch$_n4e0_n4e2$true
2814# if/else (FBelt0  == 0 && FBMotorSwitch  == 1 && (FBelt1  == 1 || FBelt2  == 1 || FBelt3  == 1))
2815.mv FBMotorSwitch$_n4d6$raw_n4f1 2 on off
2816.names FBMotorSwitch$_n4d6_n4d8$true FBMotorSwitch$_n4e0$raw_n4e4 _n4d6 FBMotorSwitch$_n4d6$raw_n4f1
2817- - 0 =FBMotorSwitch$_n4e0$raw_n4e4
2818- - 1 =FBMotorSwitch$_n4d6_n4d8$true
2819# conflict arbitrators
2820.names _n49d _n4ab _n4fb
2821.def 0
2822 1 - 1
2823 - 1 1
2824.mv _n4fc 2 Y N
2825.names _n4fb FBReady$_n4aa$raw_n4ae FBReady _n4fc
28261 - - =FBReady$_n4aa$raw_n4ae
28270 - - =FBReady
2828.names _n485 _n48f _n500
2829.def 0
2830 1 - 1
2831 - 1 1
2832.mv _n501 2 Y N
2833.names _n500 PieceOutFB$_n48e$raw_n491 PieceOutFB _n501
28341 - - =PieceOutFB$_n48e$raw_n491
28350 - - =PieceOutFB
2836.names _n4ab _n4bb _n4d7 _n4e1 _n505
2837.def 0
2838 1 - - - 1
2839 - 1 - - 1
2840 - - 1 - 1
2841 - - 0 1 1
2842.mv _n506 2 on off
2843.names _n505 FBMotorSwitch$_n4d6$raw_n4f1 FBMotorSwitch _n506
28441 - - =FBMotorSwitch$_n4d6$raw_n4f1
28450 - - =FBMotorSwitch
2846# non-blocking assignments
2847# latches
2848.r FBReady$raw_n46c FBReady
2849- =FBReady$raw_n46c
2850.latch _n4fc FBReady
2851.r FBMotorSwitch$raw_n46b FBMotorSwitch
2852- =FBMotorSwitch$raw_n46b
2853.latch _n506 FBMotorSwitch
2854.r PieceOutFB$raw_n46d PieceOutFB
2855- =PieceOutFB$raw_n46d
2856.latch _n501 PieceOutFB
2857# quasi-continuous assignment
2858.end
2859
2860
2861.model RotaryTable
2862# I/O ports
2863.outputs RTOnTop
2864.outputs RTOnArm
2865.outputs RTOnFB
2866.outputs RTOnBottom
2867.inputs RTVerticalMotor
2868.inputs RTRotaryMotor
2869.mv RTOnTop 2 Y N
2870.mv RTOnArm 2 Y N
2871.mv RTOnFB 2 Y N
2872.mv RTAngle 3 S SSE SE
2873.mv RTOnBottom 2 Y N
2874.mv RTVerticalMotor 3 GoUp GoDown Stop
2875.mv RTRotaryMotor 3 CWise Stop CCWise
2876.mv RTHight 3 Top Mid Bot
2877# assign RTOnFB  = (RTAngle  == S ) ? 0 : 1
2878.mv RTOnFB$raw_n50a 2 Y N
2879.mv _n50c 3 S SSE SE
2880.names _n50c
2881S
2882# RTAngle  == 0
2883.names RTAngle _n50c _n50b
2884.def 0
2885- =RTAngle 1
2886.mv _n50d 2 Y N
2887.names _n50d
2888Y
2889.mv _n50e 2 Y N
2890.names _n50e
2891N
2892# (RTAngle  == 0) ? 0 : 1
2893.mv _n50f 2 Y N
2894.names _n50d _n50e _n50b _n50f
2895- - 0 =_n50e
2896- - 1 =_n50d
2897.names _n50f RTOnFB$raw_n50a
2898- =_n50f
2899# assign RTOnArm  = (RTAngle  == SE ) ? 0 : 1
2900.mv RTOnArm$raw_n510 2 Y N
2901.mv _n512 3 S SSE SE
2902.names _n512
2903SE
2904# RTAngle  == 2
2905.names RTAngle _n512 _n511
2906.def 0
2907- =RTAngle 1
2908.mv _n513 2 Y N
2909.names _n513
2910Y
2911.mv _n514 2 Y N
2912.names _n514
2913N
2914# (RTAngle  == 2) ? 0 : 1
2915.mv _n515 2 Y N
2916.names _n513 _n514 _n511 _n515
2917- - 0 =_n514
2918- - 1 =_n513
2919.names _n515 RTOnArm$raw_n510
2920- =_n515
2921# assign RTOnTop  = (RTHight  == Top ) ? 0 : 1
2922.mv RTOnTop$raw_n516 2 Y N
2923.mv _n518 3 Top Mid Bot
2924.names _n518
2925Top
2926# RTHight  == 0
2927.names RTHight _n518 _n517
2928.def 0
2929- =RTHight 1
2930.mv _n519 2 Y N
2931.names _n519
2932Y
2933.mv _n51a 2 Y N
2934.names _n51a
2935N
2936# (RTHight  == 0) ? 0 : 1
2937.mv _n51b 2 Y N
2938.names _n519 _n51a _n517 _n51b
2939- - 0 =_n51a
2940- - 1 =_n519
2941.names _n51b RTOnTop$raw_n516
2942- =_n51b
2943# assign RTOnBottom  = (RTHight  == Bot ) ? 0 : 1
2944.mv RTOnBottom$raw_n51c 2 Y N
2945.mv _n51e 3 Top Mid Bot
2946.names _n51e
2947Bot
2948# RTHight  == 2
2949.names RTHight _n51e _n51d
2950.def 0
2951- =RTHight 1
2952.mv _n51f 2 Y N
2953.names _n51f
2954Y
2955.mv _n520 2 Y N
2956.names _n520
2957N
2958# (RTHight  == 2) ? 0 : 1
2959.mv _n521 2 Y N
2960.names _n51f _n520 _n51d _n521
2961- - 0 =_n520
2962- - 1 =_n51f
2963.names _n521 RTOnBottom$raw_n51c
2964- =_n521
2965# RTAngle  = $NDset ( 0,1,2 )
2966.mv RTAngle$raw_n522 3 S SSE SE
2967.mv RTAngle$raw_n522$initial$_n523 3 S SSE SE
2968.names RTAngle$raw_n522$initial$_n523
2969S
2970SSE
2971SE
2972.names RTAngle$raw_n522$initial$_n523 RTAngle$raw_n522
2973- =RTAngle$raw_n522$initial$_n523
2974# RTHight  = $NDset ( 0,1,2 )
2975.mv RTHight$raw_n524 3 Top Mid Bot
2976.mv RTHight$raw_n524$initial$_n525 3 Top Mid Bot
2977.names RTHight$raw_n524$initial$_n525
2978Top
2979Mid
2980Bot
2981.names RTHight$raw_n524$initial$_n525 RTHight$raw_n524
2982- =RTHight$raw_n524$initial$_n525
2983# non-blocking assignments for initial
2984.mv _n527 3 CWise Stop CCWise
2985.names _n527
2986CWise
2987# RTRotaryMotor  == 0
2988.names RTRotaryMotor _n527 _n526
2989.def 0
2990- =RTRotaryMotor 1
2991.names _n526 _n528
2992- =_n526
2993.mv _n52b 3 S SSE SE
2994.names _n52b
2995SE
2996.names RTAngle _n52b _n52a
2997.def 0
2998- =RTAngle 1
2999.names _n52a  _n529
30001 1
30010 0
3002# RTAngle  = 1
3003.mv RTAngle$_n529_n52c$true 3 S SSE SE
3004.names RTAngle$_n529_n52c$true
3005SSE
3006.mv _n52f 3 S SSE SE
3007.names _n52f
3008SSE
3009.names RTAngle _n52f _n52e
3010.def 0
3011- =RTAngle 1
3012.names _n52e  _n52d
30131 1
30140 0
3015# RTAngle  = 0
3016.mv RTAngle$_n52d_n530$true 3 S SSE SE
3017.names RTAngle$_n52d_n530$true
3018S
3019# case (RTAngle )
3020.mv RTAngle$_n52d$raw_n533 3 S SSE SE
3021.names RTAngle$_n52d_n530$true RTAngle _n52d RTAngle$_n52d$raw_n533
3022- - 0 =RTAngle
3023- - 1 =RTAngle$_n52d_n530$true
3024.mv RTAngle$_n529$raw_n534 3 S SSE SE
3025.names RTAngle$_n529_n52c$true RTAngle$_n52d$raw_n533 _n529 RTAngle$_n529$raw_n534
3026- - 0 =RTAngle$_n52d$raw_n533
3027- - 1 =RTAngle$_n529_n52c$true
3028# if/else (RTRotaryMotor  == 0)
3029.mv RTAngle$_n526$raw_n53a 3 S SSE SE
3030.names RTAngle$_n529$raw_n534 RTAngle _n526 RTAngle$_n526$raw_n53a
3031- - 0 =RTAngle
3032- - 1 =RTAngle$_n529$raw_n534
3033.mv _n53c 3 CWise Stop CCWise
3034.names _n53c
3035CCWise
3036# RTRotaryMotor  == 2
3037.names RTRotaryMotor _n53c _n53b
3038.def 0
3039- =RTRotaryMotor 1
3040.names _n53b _n53d
3041- =_n53b
3042.mv _n540 3 S SSE SE
3043.names _n540
3044S
3045.names RTAngle$_n526$raw_n53a _n540 _n53f
3046.def 0
3047- =RTAngle$_n526$raw_n53a 1
3048.names _n53f  _n53e
30491 1
30500 0
3051# RTAngle  = 1
3052.mv RTAngle$_n53e_n541$true 3 S SSE SE
3053.names RTAngle$_n53e_n541$true
3054SSE
3055.mv _n544 3 S SSE SE
3056.names _n544
3057SSE
3058.names RTAngle$_n526$raw_n53a _n544 _n543
3059.def 0
3060- =RTAngle$_n526$raw_n53a 1
3061.names _n543  _n542
30621 1
30630 0
3064# RTAngle  = 2
3065.mv RTAngle$_n542_n545$true 3 S SSE SE
3066.names RTAngle$_n542_n545$true
3067SE
3068# case (RTAngle )
3069.mv RTAngle$_n542$raw_n546 3 S SSE SE
3070.names RTAngle$_n542_n545$true RTAngle$_n526$raw_n53a _n542 RTAngle$_n542$raw_n546
3071- - 0 =RTAngle$_n526$raw_n53a
3072- - 1 =RTAngle$_n542_n545$true
3073.mv RTAngle$_n53e$raw_n54a 3 S SSE SE
3074.names RTAngle$_n53e_n541$true RTAngle$_n542$raw_n546 _n53e RTAngle$_n53e$raw_n54a
3075- - 0 =RTAngle$_n542$raw_n546
3076- - 1 =RTAngle$_n53e_n541$true
3077# if/else (RTRotaryMotor  == 2)
3078.mv RTAngle$_n53b$raw_n54e 3 S SSE SE
3079.names RTAngle$_n53e$raw_n54a RTAngle$_n526$raw_n53a _n53b RTAngle$_n53b$raw_n54e
3080- - 0 =RTAngle$_n526$raw_n53a
3081- - 1 =RTAngle$_n53e$raw_n54a
3082.mv _n553 3 GoUp GoDown Stop
3083.names _n553
3084GoUp
3085# RTVerticalMotor  == 0
3086.names RTVerticalMotor _n553 _n552
3087.def 0
3088- =RTVerticalMotor 1
3089.names _n552 _n554
3090- =_n552
3091.mv _n557 3 Top Mid Bot
3092.names _n557
3093Mid
3094.names RTHight _n557 _n556
3095.def 0
3096- =RTHight 1
3097.names _n556  _n555
30981 1
30990 0
3100# RTHight  = 0
3101.mv RTHight$_n555_n558$true 3 Top Mid Bot
3102.names RTHight$_n555_n558$true
3103Top
3104.mv _n55b 3 Top Mid Bot
3105.names _n55b
3106Bot
3107.names RTHight _n55b _n55a
3108.def 0
3109- =RTHight 1
3110.names _n55a  _n559
31111 1
31120 0
3113# RTHight  = 1
3114.mv RTHight$_n559_n55c$true 3 Top Mid Bot
3115.names RTHight$_n559_n55c$true
3116Mid
3117# case (RTHight )
3118.mv RTHight$_n559$raw_n562 3 Top Mid Bot
3119.names RTHight$_n559_n55c$true RTHight _n559 RTHight$_n559$raw_n562
3120- - 0 =RTHight
3121- - 1 =RTHight$_n559_n55c$true
3122.mv RTHight$_n555$raw_n565 3 Top Mid Bot
3123.names RTHight$_n555_n558$true RTHight$_n559$raw_n562 _n555 RTHight$_n555$raw_n565
3124- - 0 =RTHight$_n559$raw_n562
3125- - 1 =RTHight$_n555_n558$true
3126# if/else (RTVerticalMotor  == 0)
3127.mv RTHight$_n552$raw_n571 3 Top Mid Bot
3128.names RTHight$_n555$raw_n565 RTHight _n552 RTHight$_n552$raw_n571
3129- - 0 =RTHight
3130- - 1 =RTHight$_n555$raw_n565
3131.mv _n574 3 GoUp GoDown Stop
3132.names _n574
3133GoDown
3134# RTVerticalMotor  == 1
3135.names RTVerticalMotor _n574 _n573
3136.def 0
3137- =RTVerticalMotor 1
3138.names _n573 _n575
3139- =_n573
3140.mv _n578 3 Top Mid Bot
3141.names _n578
3142Mid
3143.names RTHight$_n552$raw_n571 _n578 _n577
3144.def 0
3145- =RTHight$_n552$raw_n571 1
3146.names _n577  _n576
31471 1
31480 0
3149# RTHight  = 2
3150.mv RTHight$_n576_n579$true 3 Top Mid Bot
3151.names RTHight$_n576_n579$true
3152Bot
3153.mv _n57c 3 Top Mid Bot
3154.names _n57c
3155Top
3156.names RTHight$_n552$raw_n571 _n57c _n57b
3157.def 0
3158- =RTHight$_n552$raw_n571 1
3159.names _n57b  _n57a
31601 1
31610 0
3162# RTHight  = 1
3163.mv RTHight$_n57a_n57d$true 3 Top Mid Bot
3164.names RTHight$_n57a_n57d$true
3165Mid
3166# case (RTHight )
3167.mv RTHight$_n57a$raw_n57f 3 Top Mid Bot
3168.names RTHight$_n57a_n57d$true RTHight$_n552$raw_n571 _n57a RTHight$_n57a$raw_n57f
3169- - 0 =RTHight$_n552$raw_n571
3170- - 1 =RTHight$_n57a_n57d$true
3171.mv RTHight$_n576$raw_n587 3 Top Mid Bot
3172.names RTHight$_n576_n579$true RTHight$_n57a$raw_n57f _n576 RTHight$_n576$raw_n587
3173- - 0 =RTHight$_n57a$raw_n57f
3174- - 1 =RTHight$_n576_n579$true
3175# if/else (RTVerticalMotor  == 1)
3176.mv RTHight$_n573$raw_n58f 3 Top Mid Bot
3177.names RTHight$_n576$raw_n587 RTHight$_n552$raw_n571 _n573 RTHight$_n573$raw_n58f
3178- - 0 =RTHight$_n552$raw_n571
3179- - 1 =RTHight$_n576$raw_n587
3180# conflict arbitrators
3181.names RTOnTop$raw_n516  RTOnTop
3182- =RTOnTop$raw_n516
3183.names RTOnArm$raw_n510  RTOnArm
3184- =RTOnArm$raw_n510
3185.names RTOnFB$raw_n50a  RTOnFB
3186- =RTOnFB$raw_n50a
3187.names _n528 _n529 _n52d _n53d _n53e _n542 _n596
3188.def 0
3189 1 1 - - - - 1
3190 1 0 1 - - - 1
3191 - - - 1 1 - 1
3192 - - - 1 0 1 1
3193.mv _n597 3 S SSE SE
3194.names _n596 RTAngle$_n53b$raw_n54e RTAngle _n597
31951 - - =RTAngle$_n53b$raw_n54e
31960 - - =RTAngle
3197.names RTOnBottom$raw_n51c  RTOnBottom
3198- =RTOnBottom$raw_n51c
3199.names _n554 _n555 _n559 _n575 _n576 _n57a _n5a2
3200.def 0
3201 1 1 - - - - 1
3202 1 0 1 - - - 1
3203 - - - 1 1 - 1
3204 - - - 1 0 1 1
3205.mv _n5a3 3 Top Mid Bot
3206.names _n5a2 RTHight$_n573$raw_n58f RTHight _n5a3
32071 - - =RTHight$_n573$raw_n58f
32080 - - =RTHight
3209# non-blocking assignments
3210# latches
3211.r RTAngle$raw_n522 RTAngle
3212- =RTAngle$raw_n522
3213.latch _n597 RTAngle
3214.r RTHight$raw_n524 RTHight
3215- =RTHight$raw_n524
3216.latch _n5a3 RTHight
3217# quasi-continuous assignment
3218.end
3219
3220
3221.model RotaryTableCNTR
3222# I/O ports
3223.inputs RTOnTop
3224.inputs RTOnArm
3225.inputs RTOnFB
3226.outputs RTOutReady
3227.outputs RTVerticalMotor
3228.inputs RTOnBottom
3229.outputs PieceGrabbedFromFB
3230.outputs RTRotaryMotor
3231.inputs PieceOutFB
3232.inputs PieceGrabbedFromRT
3233.mv TableLoaded 2 Y N
3234.mv UpChoice 3 GoUp GoDown Stop
3235.mv RTOnTop 2 Y N
3236.mv RTOnArm 2 Y N
3237.mv RTOnFB 2 Y N
3238.mv CCWiseChoice 3 CWise Stop CCWise
3239.mv DownChoice 3 GoUp GoDown Stop
3240.mv RTOutReady 2 Y N
3241.mv CWiseChoice 3 CWise Stop CCWise
3242.mv RTVerticalMotor 3 GoUp GoDown Stop
3243.mv RTOnBottom 2 Y N
3244.mv PieceGrabbedFromFB 2 Y N
3245.mv RTRotaryMotor 3 CWise Stop CCWise
3246.mv PieceOutFB 2 Y N
3247.mv PieceGrabbedFromRT 2 Y N
3248# assign CWiseChoice  = 0
3249.mv CWiseChoice$raw_n5ae 3 CWise Stop CCWise
3250.names CWiseChoice$raw_n5ae
3251CWise
3252# assign CCWiseChoice  = 2
3253.mv CCWiseChoice$raw_n5af 3 CWise Stop CCWise
3254.names CCWiseChoice$raw_n5af
3255CCWise
3256# assign UpChoice  = 0
3257.mv UpChoice$raw_n5b0 3 GoUp GoDown Stop
3258.names UpChoice$raw_n5b0
3259GoUp
3260# assign DownChoice  = 1
3261.mv DownChoice$raw_n5b1 3 GoUp GoDown Stop
3262.names DownChoice$raw_n5b1
3263GoDown
3264# RTRotaryMotor  = 1
3265.mv RTRotaryMotor$raw_n5b2 3 CWise Stop CCWise
3266.names RTRotaryMotor$raw_n5b2
3267Stop
3268# RTVerticalMotor  = 2
3269.mv RTVerticalMotor$raw_n5b3 3 GoUp GoDown Stop
3270.names RTVerticalMotor$raw_n5b3
3271Stop
3272# PieceGrabbedFromFB  = 1
3273.mv PieceGrabbedFromFB$raw_n5b4 2 Y N
3274.names PieceGrabbedFromFB$raw_n5b4
3275N
3276# RTOutReady  = 1
3277.mv RTOutReady$raw_n5b5 2 Y N
3278.names RTOutReady$raw_n5b5
3279N
3280# TableLoaded  = 1
3281.mv TableLoaded$raw_n5b6 2 Y N
3282.names TableLoaded$raw_n5b6
3283N
3284# non-blocking assignments for initial
3285.mv _n5b9 2 Y N
3286.names _n5b9
3287Y
3288.names TableLoaded _n5b9 _n5b8
3289.def 0
3290- =TableLoaded 1
3291.names _n5b8  _n5b7
32921 1
32930 0
3294.mv _n5bb 2 Y N
3295.names _n5bb
3296Y
3297# RTOnTop  == 0
3298.names RTOnTop _n5bb _n5ba
3299.def 0
3300- =RTOnTop 1
3301.names _n5ba _n5bc
3302- =_n5ba
3303.mv _n5be 2 Y N
3304.names _n5be
3305Y
3306# RTOnFB  == 0
3307.names RTOnFB _n5be _n5bd
3308.def 0
3309- =RTOnFB 1
3310.names _n5bd _n5bf
3311- =_n5bd
3312# RTRotaryMotor  = 2
3313.mv RTRotaryMotor$_n5bd_n5c0$true 3 CWise Stop CCWise
3314.names RTRotaryMotor$_n5bd_n5c0$true
3315CCWise
3316# RTVerticalMotor  = 2
3317.mv RTVerticalMotor$_n5bd_n5c1$true 3 GoUp GoDown Stop
3318.names RTVerticalMotor$_n5bd_n5c1$true
3319Stop
3320# if/else (RTOnFB  == 0)
3321.mv RTVerticalMotor$_n5bd$raw_n5c6 3 GoUp GoDown Stop
3322.names RTVerticalMotor$_n5bd_n5c1$true RTVerticalMotor _n5bd RTVerticalMotor$_n5bd$raw_n5c6
3323- - 0 =RTVerticalMotor
3324- - 1 =RTVerticalMotor$_n5bd_n5c1$true
3325.mv RTRotaryMotor$_n5bd$raw_n5c7 3 CWise Stop CCWise
3326.names RTRotaryMotor$_n5bd_n5c0$true RTRotaryMotor _n5bd RTRotaryMotor$_n5bd$raw_n5c7
3327- - 0 =RTRotaryMotor
3328- - 1 =RTRotaryMotor$_n5bd_n5c0$true
3329.mv _n5c9 2 Y N
3330.names _n5c9
3331N
3332# RTOnFB  == 1
3333.names RTOnFB _n5c9 _n5c8
3334.def 0
3335- =RTOnFB 1
3336.mv _n5cb 2 Y N
3337.names _n5cb
3338N
3339# RTOnArm  == 1
3340.names RTOnArm _n5cb _n5ca
3341.def 0
3342- =RTOnArm 1
3343# RTOnFB  == 1 && RTOnArm  == 1
3344.names _n5c8 _n5ca _n5cc
3345.def 0
33461 1 1
3347.names _n5cc _n5cd
3348- =_n5cc
3349# RTVerticalMotor  = 2
3350.mv RTVerticalMotor$_n5cc_n5ce$true 3 GoUp GoDown Stop
3351.names RTVerticalMotor$_n5cc_n5ce$true
3352Stop
3353.mv _n5d0 3 CWise Stop CCWise
3354.names _n5d0
3355CCWise
3356# RTRotaryMotor  == 2
3357.names RTRotaryMotor$_n5bd$raw_n5c7 _n5d0 _n5cf
3358.def 0
3359- =RTRotaryMotor$_n5bd$raw_n5c7 1
3360.names _n5cf _n5d1
3361- =_n5cf
3362# RTRotaryMotor  = 1
3363.mv RTRotaryMotor$_n5cf_n5d2$true 3 CWise Stop CCWise
3364.names RTRotaryMotor$_n5cf_n5d2$true
3365Stop
3366# RTOutReady  = 0
3367.mv RTOutReady$_n5cf_n5d3$true 2 Y N
3368.names RTOutReady$_n5cf_n5d3$true
3369Y
3370.mv _n5d5 3 CWise Stop CCWise
3371.names _n5d5
3372Stop
3373# RTRotaryMotor  == 1
3374.names RTRotaryMotor$_n5bd$raw_n5c7 _n5d5 _n5d4
3375.def 0
3376- =RTRotaryMotor$_n5bd$raw_n5c7 1
3377.names _n5d4 _n5d6
3378- =_n5d4
3379# RTRotaryMotor  = 2
3380.mv RTRotaryMotor$_n5d4_n5d7$true 3 CWise Stop CCWise
3381.names RTRotaryMotor$_n5d4_n5d7$true
3382CCWise
3383# if/else (RTRotaryMotor  == 1)
3384.mv RTRotaryMotor$_n5d4$raw_n5d9 3 CWise Stop CCWise
3385.names RTRotaryMotor$_n5d4_n5d7$true RTRotaryMotor$_n5bd$raw_n5c7 _n5d4 RTRotaryMotor$_n5d4$raw_n5d9
3386- - 0 =RTRotaryMotor$_n5bd$raw_n5c7
3387- - 1 =RTRotaryMotor$_n5d4_n5d7$true
3388# if/else (RTRotaryMotor  == 2)
3389.mv RTRotaryMotor$_n5cf$raw_n5e2 3 CWise Stop CCWise
3390.names RTRotaryMotor$_n5cf_n5d2$true RTRotaryMotor$_n5d4$raw_n5d9 _n5cf RTRotaryMotor$_n5cf$raw_n5e2
3391- - 0 =RTRotaryMotor$_n5d4$raw_n5d9
3392- - 1 =RTRotaryMotor$_n5cf_n5d2$true
3393.mv RTOutReady$_n5cf$raw_n5e6 2 Y N
3394.names RTOutReady$_n5cf_n5d3$true RTOutReady _n5cf RTOutReady$_n5cf$raw_n5e6
3395- - 0 =RTOutReady
3396- - 1 =RTOutReady$_n5cf_n5d3$true
3397# if/else (RTOnFB  == 1 && RTOnArm  == 1)
3398.mv RTVerticalMotor$_n5cc$raw_n5ec 3 GoUp GoDown Stop
3399.names RTVerticalMotor$_n5cc_n5ce$true RTVerticalMotor$_n5bd$raw_n5c6 _n5cc RTVerticalMotor$_n5cc$raw_n5ec
3400- - 0 =RTVerticalMotor$_n5bd$raw_n5c6
3401- - 1 =RTVerticalMotor$_n5cc_n5ce$true
3402.mv RTRotaryMotor$_n5cc$raw_n5ed 3 CWise Stop CCWise
3403.names RTRotaryMotor$_n5cf$raw_n5e2 RTRotaryMotor$_n5bd$raw_n5c7 _n5cc RTRotaryMotor$_n5cc$raw_n5ed
3404- - 0 =RTRotaryMotor$_n5bd$raw_n5c7
3405- - 1 =RTRotaryMotor$_n5cf$raw_n5e2
3406.mv RTOutReady$_n5cc$raw_n5f1 2 Y N
3407.names RTOutReady$_n5cf$raw_n5e6 RTOutReady _n5cc RTOutReady$_n5cc$raw_n5f1
3408- - 0 =RTOutReady
3409- - 1 =RTOutReady$_n5cf$raw_n5e6
3410.mv _n5f7 2 Y N
3411.names _n5f7
3412Y
3413# RTOnArm  == 0
3414.names RTOnArm _n5f7 _n5f6
3415.def 0
3416- =RTOnArm 1
3417.names _n5f6 _n5f8
3418- =_n5f6
3419.mv _n5fa 2 Y N
3420.names _n5fa
3421Y
3422# PieceGrabbedFromRT  == 0
3423.names PieceGrabbedFromRT _n5fa _n5f9
3424.def 0
3425- =PieceGrabbedFromRT 1
3426.names _n5f9 _n5fb
3427- =_n5f9
3428# RTOutReady  = 1
3429.mv RTOutReady$_n5f9_n5fc$true 2 Y N
3430.names RTOutReady$_n5f9_n5fc$true
3431N
3432# RTRotaryMotor  = CWiseChoice
3433.mv RTRotaryMotor$_n5f9_n5fd$true 3 CWise Stop CCWise
3434.names CWiseChoice RTRotaryMotor$_n5f9_n5fd$true
3435- =CWiseChoice
3436# RTVerticalMotor  = DownChoice
3437.mv RTVerticalMotor$_n5f9_n5fe$true 3 GoUp GoDown Stop
3438.names DownChoice RTVerticalMotor$_n5f9_n5fe$true
3439- =DownChoice
3440# TableLoaded  = 1
3441.mv TableLoaded$_n5f9_n5ff$true 2 Y N
3442.names TableLoaded$_n5f9_n5ff$true
3443N
3444# RTOutReady  = 0
3445.mv RTOutReady$_n5f9_n600$false 2 Y N
3446.names RTOutReady$_n5f9_n600$false
3447Y
3448# RTRotaryMotor  = 1
3449.mv RTRotaryMotor$_n5f9_n601$false 3 CWise Stop CCWise
3450.names RTRotaryMotor$_n5f9_n601$false
3451Stop
3452# RTVerticalMotor  = 2
3453.mv RTVerticalMotor$_n5f9_n602$false 3 GoUp GoDown Stop
3454.names RTVerticalMotor$_n5f9_n602$false
3455Stop
3456# if/else (PieceGrabbedFromRT  == 0)
3457.mv RTOutReady$_n5f9$raw_n604 2 Y N
3458.names RTOutReady$_n5f9_n5fc$true RTOutReady$_n5f9_n600$false _n5f9 RTOutReady$_n5f9$raw_n604
3459- - 0 =RTOutReady$_n5f9_n600$false
3460- - 1 =RTOutReady$_n5f9_n5fc$true
3461.mv RTVerticalMotor$_n5f9$raw_n605 3 GoUp GoDown Stop
3462.names RTVerticalMotor$_n5f9_n5fe$true RTVerticalMotor$_n5f9_n602$false _n5f9 RTVerticalMotor$_n5f9$raw_n605
3463- - 0 =RTVerticalMotor$_n5f9_n602$false
3464- - 1 =RTVerticalMotor$_n5f9_n5fe$true
3465.mv RTRotaryMotor$_n5f9$raw_n606 3 CWise Stop CCWise
3466.names RTRotaryMotor$_n5f9_n5fd$true RTRotaryMotor$_n5f9_n601$false _n5f9 RTRotaryMotor$_n5f9$raw_n606
3467- - 0 =RTRotaryMotor$_n5f9_n601$false
3468- - 1 =RTRotaryMotor$_n5f9_n5fd$true
3469.mv TableLoaded$_n5f9$raw_n60b 2 Y N
3470.names TableLoaded$_n5f9_n5ff$true TableLoaded _n5f9 TableLoaded$_n5f9$raw_n60b
3471- - 0 =TableLoaded
3472- - 1 =TableLoaded$_n5f9_n5ff$true
3473# if/else (RTOnArm  == 0)
3474.mv RTOutReady$_n5f6$raw_n613 2 Y N
3475.names RTOutReady$_n5f9$raw_n604 RTOutReady$_n5cc$raw_n5f1 _n5f6 RTOutReady$_n5f6$raw_n613
3476- - 0 =RTOutReady$_n5cc$raw_n5f1
3477- - 1 =RTOutReady$_n5f9$raw_n604
3478.mv RTVerticalMotor$_n5f6$raw_n614 3 GoUp GoDown Stop
3479.names RTVerticalMotor$_n5f9$raw_n605 RTVerticalMotor$_n5cc$raw_n5ec _n5f6 RTVerticalMotor$_n5f6$raw_n614
3480- - 0 =RTVerticalMotor$_n5cc$raw_n5ec
3481- - 1 =RTVerticalMotor$_n5f9$raw_n605
3482.mv RTRotaryMotor$_n5f6$raw_n615 3 CWise Stop CCWise
3483.names RTRotaryMotor$_n5f9$raw_n606 RTRotaryMotor$_n5cc$raw_n5ed _n5f6 RTRotaryMotor$_n5f6$raw_n615
3484- - 0 =RTRotaryMotor$_n5cc$raw_n5ed
3485- - 1 =RTRotaryMotor$_n5f9$raw_n606
3486.mv TableLoaded$_n5f6$raw_n61a 2 Y N
3487.names TableLoaded$_n5f9$raw_n60b TableLoaded _n5f6 TableLoaded$_n5f6$raw_n61a
3488- - 0 =TableLoaded
3489- - 1 =TableLoaded$_n5f9$raw_n60b
3490# if/else (RTOnTop  == 0)
3491.mv TableLoaded$_n5ba$raw_n629 2 Y N
3492.names TableLoaded$_n5f6$raw_n61a TableLoaded _n5ba TableLoaded$_n5ba$raw_n629
3493- - 0 =TableLoaded
3494- - 1 =TableLoaded$_n5f6$raw_n61a
3495.mv RTOutReady$_n5ba$raw_n62a 2 Y N
3496.names RTOutReady$_n5f6$raw_n613 RTOutReady _n5ba RTOutReady$_n5ba$raw_n62a
3497- - 0 =RTOutReady
3498- - 1 =RTOutReady$_n5f6$raw_n613
3499.mv RTVerticalMotor$_n5ba$raw_n62b 3 GoUp GoDown Stop
3500.names RTVerticalMotor$_n5f6$raw_n614 RTVerticalMotor _n5ba RTVerticalMotor$_n5ba$raw_n62b
3501- - 0 =RTVerticalMotor
3502- - 1 =RTVerticalMotor$_n5f6$raw_n614
3503.mv RTRotaryMotor$_n5ba$raw_n62c 3 CWise Stop CCWise
3504.names RTRotaryMotor$_n5f6$raw_n615 RTRotaryMotor _n5ba RTRotaryMotor$_n5ba$raw_n62c
3505- - 0 =RTRotaryMotor
3506- - 1 =RTRotaryMotor$_n5f6$raw_n615
3507.mv _n62e 2 Y N
3508.names _n62e
3509N
3510# RTOnTop  == 1
3511.names RTOnTop _n62e _n62d
3512.def 0
3513- =RTOnTop 1
3514.mv _n630 2 Y N
3515.names _n630
3516N
3517# RTOnBottom  == 1
3518.names RTOnBottom _n630 _n62f
3519.def 0
3520- =RTOnBottom 1
3521# RTOnTop  == 1 && RTOnBottom  == 1
3522.names _n62d _n62f _n631
3523.def 0
35241 1 1
3525.names _n631 _n632
3526- =_n631
3527.mv _n634 2 Y N
3528.names _n634
3529Y
3530# RTOnFB  == 0
3531.names RTOnFB _n634 _n633
3532.def 0
3533- =RTOnFB 1
3534.names _n633 _n635
3535- =_n633
3536# RTRotaryMotor  = CCWiseChoice
3537.mv RTRotaryMotor$_n633_n636$true 3 CWise Stop CCWise
3538.names CCWiseChoice RTRotaryMotor$_n633_n636$true
3539- =CCWiseChoice
3540.mv _n638 3 GoUp GoDown Stop
3541.names _n638
3542GoUp
3543# RTVerticalMotor  == 0
3544.names RTVerticalMotor$_n5ba$raw_n62b _n638 _n637
3545.def 0
3546- =RTVerticalMotor$_n5ba$raw_n62b 1
3547.names _n637 _n639
3548- =_n637
3549# RTVerticalMotor  = 2
3550.mv RTVerticalMotor$_n637_n63a$true 3 GoUp GoDown Stop
3551.names RTVerticalMotor$_n637_n63a$true
3552Stop
3553# RTVerticalMotor  = UpChoice
3554.mv RTVerticalMotor$_n637_n63b$false 3 GoUp GoDown Stop
3555.names UpChoice RTVerticalMotor$_n637_n63b$false
3556- =UpChoice
3557# if/else (RTVerticalMotor  == 0)
3558.mv RTVerticalMotor$_n637$raw_n63e 3 GoUp GoDown Stop
3559.names RTVerticalMotor$_n637_n63a$true RTVerticalMotor$_n637_n63b$false _n637 RTVerticalMotor$_n637$raw_n63e
3560- - 0 =RTVerticalMotor$_n637_n63b$false
3561- - 1 =RTVerticalMotor$_n637_n63a$true
3562# if/else (RTOnFB  == 0)
3563.mv RTVerticalMotor$_n633$raw_n64e 3 GoUp GoDown Stop
3564.names RTVerticalMotor$_n637$raw_n63e RTVerticalMotor$_n5ba$raw_n62b _n633 RTVerticalMotor$_n633$raw_n64e
3565- - 0 =RTVerticalMotor$_n5ba$raw_n62b
3566- - 1 =RTVerticalMotor$_n637$raw_n63e
3567.mv RTRotaryMotor$_n633$raw_n64f 3 CWise Stop CCWise
3568.names RTRotaryMotor$_n633_n636$true RTRotaryMotor$_n5ba$raw_n62c _n633 RTRotaryMotor$_n633$raw_n64f
3569- - 0 =RTRotaryMotor$_n5ba$raw_n62c
3570- - 1 =RTRotaryMotor$_n633_n636$true
3571.mv _n65d 2 Y N
3572.names _n65d
3573N
3574# RTOnFB  == 1
3575.names RTOnFB _n65d _n65c
3576.def 0
3577- =RTOnFB 1
3578.mv _n65f 2 Y N
3579.names _n65f
3580N
3581# RTOnArm  == 1
3582.names RTOnArm _n65f _n65e
3583.def 0
3584- =RTOnArm 1
3585# RTOnFB  == 1 && RTOnArm  == 1
3586.names _n65c _n65e _n660
3587.def 0
35881 1 1
3589.names _n660 _n661
3590- =_n660
3591.mv _n663 3 CWise Stop CCWise
3592.names _n663
3593CCWise
3594# RTRotaryMotor  == 2
3595.names RTRotaryMotor$_n633$raw_n64f _n663 _n662
3596.def 0
3597- =RTRotaryMotor$_n633$raw_n64f 1
3598.mv _n665 3 GoUp GoDown Stop
3599.names _n665
3600GoUp
3601# RTVerticalMotor  == 0
3602.names RTVerticalMotor$_n633$raw_n64e _n665 _n664
3603.def 0
3604- =RTVerticalMotor$_n633$raw_n64e 1
3605# RTRotaryMotor  == 2 && RTVerticalMotor  == 0
3606.names _n662 _n664 _n666
3607.def 0
36081 1 1
3609.names _n666 _n667
3610- =_n666
3611# RTRotaryMotor  = 1
3612.mv RTRotaryMotor$_n666_n668$true 3 CWise Stop CCWise
3613.names RTRotaryMotor$_n666_n668$true
3614Stop
3615# RTVerticalMotor  = 2
3616.mv RTVerticalMotor$_n666_n669$true 3 GoUp GoDown Stop
3617.names RTVerticalMotor$_n666_n669$true
3618Stop
3619# RTOutReady  = 0
3620.mv RTOutReady$_n666_n66a$true 2 Y N
3621.names RTOutReady$_n666_n66a$true
3622Y
3623.mv _n66c 3 CWise Stop CCWise
3624.names _n66c
3625CCWise
3626# RTRotaryMotor  != 2
3627.names RTRotaryMotor$_n633$raw_n64f _n66c _n66b
3628.def 1
3629- =RTRotaryMotor$_n633$raw_n64f 0
3630.mv _n66e 3 GoUp GoDown Stop
3631.names _n66e
3632GoUp
3633# RTVerticalMotor  == 0
3634.names RTVerticalMotor$_n633$raw_n64e _n66e _n66d
3635.def 0
3636- =RTVerticalMotor$_n633$raw_n64e 1
3637# RTRotaryMotor  != 2 && RTVerticalMotor  == 0
3638.names _n66b _n66d _n66f
3639.def 0
36401 1 1
3641.names _n66f _n670
3642- =_n66f
3643# RTRotaryMotor  = CCWiseChoice
3644.mv RTRotaryMotor$_n66f_n671$true 3 CWise Stop CCWise
3645.names CCWiseChoice RTRotaryMotor$_n66f_n671$true
3646- =CCWiseChoice
3647# RTVerticalMotor  = 2
3648.mv RTVerticalMotor$_n66f_n672$true 3 GoUp GoDown Stop
3649.names RTVerticalMotor$_n66f_n672$true
3650Stop
3651.mv _n674 3 CWise Stop CCWise
3652.names _n674
3653CCWise
3654# RTRotaryMotor  == 2
3655.names RTRotaryMotor$_n633$raw_n64f _n674 _n673
3656.def 0
3657- =RTRotaryMotor$_n633$raw_n64f 1
3658.mv _n676 3 GoUp GoDown Stop
3659.names _n676
3660GoUp
3661# RTVerticalMotor  != 0
3662.names RTVerticalMotor$_n633$raw_n64e _n676 _n675
3663.def 1
3664- =RTVerticalMotor$_n633$raw_n64e 0
3665# RTRotaryMotor  == 2 && RTVerticalMotor  != 0
3666.names _n673 _n675 _n677
3667.def 0
36681 1 1
3669.names _n677 _n678
3670- =_n677
3671# RTRotaryMotor  = 1
3672.mv RTRotaryMotor$_n677_n679$true 3 CWise Stop CCWise
3673.names RTRotaryMotor$_n677_n679$true
3674Stop
3675# RTVerticalMotor  = UpChoice
3676.mv RTVerticalMotor$_n677_n67a$true 3 GoUp GoDown Stop
3677.names UpChoice RTVerticalMotor$_n677_n67a$true
3678- =UpChoice
3679.mv _n67c 3 CWise Stop CCWise
3680.names _n67c
3681CCWise
3682# RTRotaryMotor  != 2
3683.names RTRotaryMotor$_n633$raw_n64f _n67c _n67b
3684.def 1
3685- =RTRotaryMotor$_n633$raw_n64f 0
3686.mv _n67e 3 GoUp GoDown Stop
3687.names _n67e
3688GoUp
3689# RTVerticalMotor  != 0
3690.names RTVerticalMotor$_n633$raw_n64e _n67e _n67d
3691.def 1
3692- =RTVerticalMotor$_n633$raw_n64e 0
3693# RTRotaryMotor  != 2 && RTVerticalMotor  != 0
3694.names _n67b _n67d _n67f
3695.def 0
36961 1 1
3697.names _n67f _n680
3698- =_n67f
3699# RTRotaryMotor  = CCWiseChoice
3700.mv RTRotaryMotor$_n67f_n681$true 3 CWise Stop CCWise
3701.names CCWiseChoice RTRotaryMotor$_n67f_n681$true
3702- =CCWiseChoice
3703# RTVerticalMotor  = UpChoice
3704.mv RTVerticalMotor$_n67f_n682$true 3 GoUp GoDown Stop
3705.names UpChoice RTVerticalMotor$_n67f_n682$true
3706- =UpChoice
3707# if/else (RTRotaryMotor  != 2 && RTVerticalMotor  != 0)
3708.mv RTVerticalMotor$_n67f$raw_n685 3 GoUp GoDown Stop
3709.names RTVerticalMotor$_n67f_n682$true RTVerticalMotor$_n633$raw_n64e _n67f RTVerticalMotor$_n67f$raw_n685
3710- - 0 =RTVerticalMotor$_n633$raw_n64e
3711- - 1 =RTVerticalMotor$_n67f_n682$true
3712.mv RTRotaryMotor$_n67f$raw_n686 3 CWise Stop CCWise
3713.names RTRotaryMotor$_n67f_n681$true RTRotaryMotor$_n633$raw_n64f _n67f RTRotaryMotor$_n67f$raw_n686
3714- - 0 =RTRotaryMotor$_n633$raw_n64f
3715- - 1 =RTRotaryMotor$_n67f_n681$true
3716# if/else (RTRotaryMotor  == 2 && RTVerticalMotor  != 0)
3717.mv RTVerticalMotor$_n677$raw_n695 3 GoUp GoDown Stop
3718.names RTVerticalMotor$_n677_n67a$true RTVerticalMotor$_n67f$raw_n685 _n677 RTVerticalMotor$_n677$raw_n695
3719- - 0 =RTVerticalMotor$_n67f$raw_n685
3720- - 1 =RTVerticalMotor$_n677_n67a$true
3721.mv RTRotaryMotor$_n677$raw_n696 3 CWise Stop CCWise
3722.names RTRotaryMotor$_n677_n679$true RTRotaryMotor$_n67f$raw_n686 _n677 RTRotaryMotor$_n677$raw_n696
3723- - 0 =RTRotaryMotor$_n67f$raw_n686
3724- - 1 =RTRotaryMotor$_n677_n679$true
3725# if/else (RTRotaryMotor  != 2 && RTVerticalMotor  == 0)
3726.mv RTVerticalMotor$_n66f$raw_n6a5 3 GoUp GoDown Stop
3727.names RTVerticalMotor$_n66f_n672$true RTVerticalMotor$_n677$raw_n695 _n66f RTVerticalMotor$_n66f$raw_n6a5
3728- - 0 =RTVerticalMotor$_n677$raw_n695
3729- - 1 =RTVerticalMotor$_n66f_n672$true
3730.mv RTRotaryMotor$_n66f$raw_n6a6 3 CWise Stop CCWise
3731.names RTRotaryMotor$_n66f_n671$true RTRotaryMotor$_n677$raw_n696 _n66f RTRotaryMotor$_n66f$raw_n6a6
3732- - 0 =RTRotaryMotor$_n677$raw_n696
3733- - 1 =RTRotaryMotor$_n66f_n671$true
3734# if/else (RTRotaryMotor  == 2 && RTVerticalMotor  == 0)
3735.mv RTOutReady$_n666$raw_n6b4 2 Y N
3736.names RTOutReady$_n666_n66a$true RTOutReady$_n5ba$raw_n62a _n666 RTOutReady$_n666$raw_n6b4
3737- - 0 =RTOutReady$_n5ba$raw_n62a
3738- - 1 =RTOutReady$_n666_n66a$true
3739.mv RTVerticalMotor$_n666$raw_n6b5 3 GoUp GoDown Stop
3740.names RTVerticalMotor$_n666_n669$true RTVerticalMotor$_n66f$raw_n6a5 _n666 RTVerticalMotor$_n666$raw_n6b5
3741- - 0 =RTVerticalMotor$_n66f$raw_n6a5
3742- - 1 =RTVerticalMotor$_n666_n669$true
3743.mv RTRotaryMotor$_n666$raw_n6b6 3 CWise Stop CCWise
3744.names RTRotaryMotor$_n666_n668$true RTRotaryMotor$_n66f$raw_n6a6 _n666 RTRotaryMotor$_n666$raw_n6b6
3745- - 0 =RTRotaryMotor$_n66f$raw_n6a6
3746- - 1 =RTRotaryMotor$_n666_n668$true
3747# if/else (RTOnFB  == 1 && RTOnArm  == 1)
3748.mv RTOutReady$_n660$raw_n6c4 2 Y N
3749.names RTOutReady$_n666$raw_n6b4 RTOutReady$_n5ba$raw_n62a _n660 RTOutReady$_n660$raw_n6c4
3750- - 0 =RTOutReady$_n5ba$raw_n62a
3751- - 1 =RTOutReady$_n666$raw_n6b4
3752.mv RTVerticalMotor$_n660$raw_n6c5 3 GoUp GoDown Stop
3753.names RTVerticalMotor$_n666$raw_n6b5 RTVerticalMotor$_n633$raw_n64e _n660 RTVerticalMotor$_n660$raw_n6c5
3754- - 0 =RTVerticalMotor$_n633$raw_n64e
3755- - 1 =RTVerticalMotor$_n666$raw_n6b5
3756.mv RTRotaryMotor$_n660$raw_n6c6 3 CWise Stop CCWise
3757.names RTRotaryMotor$_n666$raw_n6b6 RTRotaryMotor$_n633$raw_n64f _n660 RTRotaryMotor$_n660$raw_n6c6
3758- - 0 =RTRotaryMotor$_n633$raw_n64f
3759- - 1 =RTRotaryMotor$_n666$raw_n6b6
3760.mv _n6d4 2 Y N
3761.names _n6d4
3762Y
3763# RTOnArm  == 0
3764.names RTOnArm _n6d4 _n6d3
3765.def 0
3766- =RTOnArm 1
3767.names _n6d3 _n6d5
3768- =_n6d3
3769# RTRotaryMotor  = 1
3770.mv RTRotaryMotor$_n6d3_n6d6$true 3 CWise Stop CCWise
3771.names RTRotaryMotor$_n6d3_n6d6$true
3772Stop
3773.mv _n6d8 3 GoUp GoDown Stop
3774.names _n6d8
3775GoUp
3776# RTVerticalMotor  == 0
3777.names RTVerticalMotor$_n660$raw_n6c5 _n6d8 _n6d7
3778.def 0
3779- =RTVerticalMotor$_n660$raw_n6c5 1
3780.names _n6d7 _n6d9
3781- =_n6d7
3782# RTVerticalMotor  = 2
3783.mv RTVerticalMotor$_n6d7_n6da$true 3 GoUp GoDown Stop
3784.names RTVerticalMotor$_n6d7_n6da$true
3785Stop
3786# RTOutReady  = 0
3787.mv RTOutReady$_n6d7_n6db$true 2 Y N
3788.names RTOutReady$_n6d7_n6db$true
3789Y
3790# RTVerticalMotor  = 0
3791.mv RTVerticalMotor$_n6d7_n6dc$false 3 GoUp GoDown Stop
3792.names RTVerticalMotor$_n6d7_n6dc$false
3793GoUp
3794# if/else (RTVerticalMotor  == 0)
3795.mv RTOutReady$_n6d7$raw_n6de 2 Y N
3796.names RTOutReady$_n6d7_n6db$true RTOutReady$_n660$raw_n6c4 _n6d7 RTOutReady$_n6d7$raw_n6de
3797- - 0 =RTOutReady$_n660$raw_n6c4
3798- - 1 =RTOutReady$_n6d7_n6db$true
3799.mv RTVerticalMotor$_n6d7$raw_n6df 3 GoUp GoDown Stop
3800.names RTVerticalMotor$_n6d7_n6da$true RTVerticalMotor$_n6d7_n6dc$false _n6d7 RTVerticalMotor$_n6d7$raw_n6df
3801- - 0 =RTVerticalMotor$_n6d7_n6dc$false
3802- - 1 =RTVerticalMotor$_n6d7_n6da$true
3803# if/else (RTOnArm  == 0)
3804.mv RTOutReady$_n6d3$raw_n6ee 2 Y N
3805.names RTOutReady$_n6d7$raw_n6de RTOutReady$_n660$raw_n6c4 _n6d3 RTOutReady$_n6d3$raw_n6ee
3806- - 0 =RTOutReady$_n660$raw_n6c4
3807- - 1 =RTOutReady$_n6d7$raw_n6de
3808.mv RTVerticalMotor$_n6d3$raw_n6ef 3 GoUp GoDown Stop
3809.names RTVerticalMotor$_n6d7$raw_n6df RTVerticalMotor$_n660$raw_n6c5 _n6d3 RTVerticalMotor$_n6d3$raw_n6ef
3810- - 0 =RTVerticalMotor$_n660$raw_n6c5
3811- - 1 =RTVerticalMotor$_n6d7$raw_n6df
3812.mv RTRotaryMotor$_n6d3$raw_n6f0 3 CWise Stop CCWise
3813.names RTRotaryMotor$_n6d3_n6d6$true RTRotaryMotor$_n660$raw_n6c6 _n6d3 RTRotaryMotor$_n6d3$raw_n6f0
3814- - 0 =RTRotaryMotor$_n660$raw_n6c6
3815- - 1 =RTRotaryMotor$_n6d3_n6d6$true
3816# if/else (RTOnTop  == 1 && RTOnBottom  == 1)
3817.mv RTOutReady$_n631$raw_n6fe 2 Y N
3818.names RTOutReady$_n6d3$raw_n6ee RTOutReady$_n5ba$raw_n62a _n631 RTOutReady$_n631$raw_n6fe
3819- - 0 =RTOutReady$_n5ba$raw_n62a
3820- - 1 =RTOutReady$_n6d3$raw_n6ee
3821.mv RTVerticalMotor$_n631$raw_n6ff 3 GoUp GoDown Stop
3822.names RTVerticalMotor$_n6d3$raw_n6ef RTVerticalMotor$_n5ba$raw_n62b _n631 RTVerticalMotor$_n631$raw_n6ff
3823- - 0 =RTVerticalMotor$_n5ba$raw_n62b
3824- - 1 =RTVerticalMotor$_n6d3$raw_n6ef
3825.mv RTRotaryMotor$_n631$raw_n700 3 CWise Stop CCWise
3826.names RTRotaryMotor$_n6d3$raw_n6f0 RTRotaryMotor$_n5ba$raw_n62c _n631 RTRotaryMotor$_n631$raw_n700
3827- - 0 =RTRotaryMotor$_n5ba$raw_n62c
3828- - 1 =RTRotaryMotor$_n6d3$raw_n6f0
3829.mv _n70e 2 Y N
3830.names _n70e
3831Y
3832# RTOnBottom  == 0
3833.names RTOnBottom _n70e _n70d
3834.def 0
3835- =RTOnBottom 1
3836.names _n70d _n70f
3837- =_n70d
3838.mv _n711 2 Y N
3839.names _n711
3840Y
3841# RTOnFB  == 0
3842.names RTOnFB _n711 _n710
3843.def 0
3844- =RTOnFB 1
3845.names _n710 _n712
3846- =_n710
3847# RTRotaryMotor  = CCWiseChoice
3848.mv RTRotaryMotor$_n710_n713$true 3 CWise Stop CCWise
3849.names CCWiseChoice RTRotaryMotor$_n710_n713$true
3850- =CCWiseChoice
3851# RTVerticalMotor  = UpChoice
3852.mv RTVerticalMotor$_n710_n714$true 3 GoUp GoDown Stop
3853.names UpChoice RTVerticalMotor$_n710_n714$true
3854- =UpChoice
3855# if/else (RTOnFB  == 0)
3856.mv RTVerticalMotor$_n710$raw_n717 3 GoUp GoDown Stop
3857.names RTVerticalMotor$_n710_n714$true RTVerticalMotor$_n631$raw_n6ff _n710 RTVerticalMotor$_n710$raw_n717
3858- - 0 =RTVerticalMotor$_n631$raw_n6ff
3859- - 1 =RTVerticalMotor$_n710_n714$true
3860.mv RTRotaryMotor$_n710$raw_n718 3 CWise Stop CCWise
3861.names RTRotaryMotor$_n710_n713$true RTRotaryMotor$_n631$raw_n700 _n710 RTRotaryMotor$_n710$raw_n718
3862- - 0 =RTRotaryMotor$_n631$raw_n700
3863- - 1 =RTRotaryMotor$_n710_n713$true
3864.mv _n726 2 Y N
3865.names _n726
3866N
3867# RTOnFB  == 1
3868.names RTOnFB _n726 _n725
3869.def 0
3870- =RTOnFB 1
3871.mv _n728 2 Y N
3872.names _n728
3873N
3874# RTOnArm  == 1
3875.names RTOnArm _n728 _n727
3876.def 0
3877- =RTOnArm 1
3878# RTOnFB  == 1 && RTOnArm  == 1
3879.names _n725 _n727 _n729
3880.def 0
38811 1 1
3882.names _n729 _n72a
3883- =_n729
3884# RTVerticalMotor  = UpChoice
3885.mv RTVerticalMotor$_n729_n72b$true 3 GoUp GoDown Stop
3886.names UpChoice RTVerticalMotor$_n729_n72b$true
3887- =UpChoice
3888.mv _n72d 3 CWise Stop CCWise
3889.names _n72d
3890CCWise
3891# RTRotaryMotor  == 2
3892.names RTRotaryMotor$_n710$raw_n718 _n72d _n72c
3893.def 0
3894- =RTRotaryMotor$_n710$raw_n718 1
3895.names _n72c _n72e
3896- =_n72c
3897# RTRotaryMotor  = 1
3898.mv RTRotaryMotor$_n72c_n72f$true 3 CWise Stop CCWise
3899.names RTRotaryMotor$_n72c_n72f$true
3900Stop
3901# RTRotaryMotor  = CCWiseChoice
3902.mv RTRotaryMotor$_n72c_n730$false 3 CWise Stop CCWise
3903.names CCWiseChoice RTRotaryMotor$_n72c_n730$false
3904- =CCWiseChoice
3905# if/else (RTRotaryMotor  == 2)
3906.mv RTRotaryMotor$_n72c$raw_n734 3 CWise Stop CCWise
3907.names RTRotaryMotor$_n72c_n72f$true RTRotaryMotor$_n72c_n730$false _n72c RTRotaryMotor$_n72c$raw_n734
3908- - 0 =RTRotaryMotor$_n72c_n730$false
3909- - 1 =RTRotaryMotor$_n72c_n72f$true
3910# if/else (RTOnFB  == 1 && RTOnArm  == 1)
3911.mv RTVerticalMotor$_n729$raw_n743 3 GoUp GoDown Stop
3912.names RTVerticalMotor$_n729_n72b$true RTVerticalMotor$_n710$raw_n717 _n729 RTVerticalMotor$_n729$raw_n743
3913- - 0 =RTVerticalMotor$_n710$raw_n717
3914- - 1 =RTVerticalMotor$_n729_n72b$true
3915.mv RTRotaryMotor$_n729$raw_n744 3 CWise Stop CCWise
3916.names RTRotaryMotor$_n72c$raw_n734 RTRotaryMotor$_n710$raw_n718 _n729 RTRotaryMotor$_n729$raw_n744
3917- - 0 =RTRotaryMotor$_n710$raw_n718
3918- - 1 =RTRotaryMotor$_n72c$raw_n734
3919.mv _n752 2 Y N
3920.names _n752
3921Y
3922# RTOnArm  == 0
3923.names RTOnArm _n752 _n751
3924.def 0
3925- =RTOnArm 1
3926.names _n751 _n753
3927- =_n751
3928# RTRotaryMotor  = 1
3929.mv RTRotaryMotor$_n751_n754$true 3 CWise Stop CCWise
3930.names RTRotaryMotor$_n751_n754$true
3931Stop
3932# RTVerticalMotor  = 0
3933.mv RTVerticalMotor$_n751_n755$true 3 GoUp GoDown Stop
3934.names RTVerticalMotor$_n751_n755$true
3935GoUp
3936# if/else (RTOnArm  == 0)
3937.mv RTVerticalMotor$_n751$raw_n758 3 GoUp GoDown Stop
3938.names RTVerticalMotor$_n751_n755$true RTVerticalMotor$_n729$raw_n743 _n751 RTVerticalMotor$_n751$raw_n758
3939- - 0 =RTVerticalMotor$_n729$raw_n743
3940- - 1 =RTVerticalMotor$_n751_n755$true
3941.mv RTRotaryMotor$_n751$raw_n759 3 CWise Stop CCWise
3942.names RTRotaryMotor$_n751_n754$true RTRotaryMotor$_n729$raw_n744 _n751 RTRotaryMotor$_n751$raw_n759
3943- - 0 =RTRotaryMotor$_n729$raw_n744
3944- - 1 =RTRotaryMotor$_n751_n754$true
3945# if/else (RTOnBottom  == 0)
3946.mv RTVerticalMotor$_n70d$raw_n768 3 GoUp GoDown Stop
3947.names RTVerticalMotor$_n751$raw_n758 RTVerticalMotor$_n631$raw_n6ff _n70d RTVerticalMotor$_n70d$raw_n768
3948- - 0 =RTVerticalMotor$_n631$raw_n6ff
3949- - 1 =RTVerticalMotor$_n751$raw_n758
3950.mv RTRotaryMotor$_n70d$raw_n769 3 CWise Stop CCWise
3951.names RTRotaryMotor$_n751$raw_n759 RTRotaryMotor$_n631$raw_n700 _n70d RTRotaryMotor$_n70d$raw_n769
3952- - 0 =RTRotaryMotor$_n631$raw_n700
3953- - 1 =RTRotaryMotor$_n751$raw_n759
3954.mv _n778 2 Y N
3955.names _n778
3956N
3957.names TableLoaded _n778 _n777
3958.def 0
3959- =TableLoaded 1
3960.names _n777  _n776
39611 1
39620 0
3963.mv _n77a 2 Y N
3964.names _n77a
3965Y
3966# RTOnTop  == 0
3967.names RTOnTop _n77a _n779
3968.def 0
3969- =RTOnTop 1
3970.names _n779 _n77b
3971- =_n779
3972.mv _n77d 2 Y N
3973.names _n77d
3974Y
3975# RTOnFB  == 0
3976.names RTOnFB _n77d _n77c
3977.def 0
3978- =RTOnFB 1
3979.names _n77c _n77e
3980- =_n77c
3981# RTRotaryMotor  = 1
3982.mv RTRotaryMotor$_n77c_n77f$true 3 CWise Stop CCWise
3983.names RTRotaryMotor$_n77c_n77f$true
3984Stop
3985# RTVerticalMotor  = 1
3986.mv RTVerticalMotor$_n77c_n780$true 3 GoUp GoDown Stop
3987.names RTVerticalMotor$_n77c_n780$true
3988GoDown
3989# if/else (RTOnFB  == 0)
3990.mv RTVerticalMotor$_n77c$raw_n785 3 GoUp GoDown Stop
3991.names RTVerticalMotor$_n77c_n780$true RTVerticalMotor _n77c RTVerticalMotor$_n77c$raw_n785
3992- - 0 =RTVerticalMotor
3993- - 1 =RTVerticalMotor$_n77c_n780$true
3994.mv RTRotaryMotor$_n77c$raw_n786 3 CWise Stop CCWise
3995.names RTRotaryMotor$_n77c_n77f$true RTRotaryMotor _n77c RTRotaryMotor$_n77c$raw_n786
3996- - 0 =RTRotaryMotor
3997- - 1 =RTRotaryMotor$_n77c_n77f$true
3998.mv _n788 2 Y N
3999.names _n788
4000N
4001# RTOnFB  == 1
4002.names RTOnFB _n788 _n787
4003.def 0
4004- =RTOnFB 1
4005.mv _n78a 2 Y N
4006.names _n78a
4007N
4008# RTOnArm  == 1
4009.names RTOnArm _n78a _n789
4010.def 0
4011- =RTOnArm 1
4012# RTOnFB  == 1 && RTOnArm  == 1
4013.names _n787 _n789 _n78b
4014.def 0
40151 1 1
4016.names _n78b _n78c
4017- =_n78b
4018# RTVerticalMotor  = DownChoice
4019.mv RTVerticalMotor$_n78b_n78d$true 3 GoUp GoDown Stop
4020.names DownChoice RTVerticalMotor$_n78b_n78d$true
4021- =DownChoice
4022.mv _n78f 3 CWise Stop CCWise
4023.names _n78f
4024CWise
4025# RTRotaryMotor  == 0
4026.names RTRotaryMotor$_n77c$raw_n786 _n78f _n78e
4027.def 0
4028- =RTRotaryMotor$_n77c$raw_n786 1
4029.names _n78e _n790
4030- =_n78e
4031# RTRotaryMotor  = 1
4032.mv RTRotaryMotor$_n78e_n791$true 3 CWise Stop CCWise
4033.names RTRotaryMotor$_n78e_n791$true
4034Stop
4035# RTRotaryMotor  = CWiseChoice
4036.mv RTRotaryMotor$_n78e_n792$false 3 CWise Stop CCWise
4037.names CWiseChoice RTRotaryMotor$_n78e_n792$false
4038- =CWiseChoice
4039# if/else (RTRotaryMotor  == 0)
4040.mv RTRotaryMotor$_n78e$raw_n794 3 CWise Stop CCWise
4041.names RTRotaryMotor$_n78e_n791$true RTRotaryMotor$_n78e_n792$false _n78e RTRotaryMotor$_n78e$raw_n794
4042- - 0 =RTRotaryMotor$_n78e_n792$false
4043- - 1 =RTRotaryMotor$_n78e_n791$true
4044# if/else (RTOnFB  == 1 && RTOnArm  == 1)
4045.mv RTVerticalMotor$_n78b$raw_n79b 3 GoUp GoDown Stop
4046.names RTVerticalMotor$_n78b_n78d$true RTVerticalMotor$_n77c$raw_n785 _n78b RTVerticalMotor$_n78b$raw_n79b
4047- - 0 =RTVerticalMotor$_n77c$raw_n785
4048- - 1 =RTVerticalMotor$_n78b_n78d$true
4049.mv RTRotaryMotor$_n78b$raw_n79c 3 CWise Stop CCWise
4050.names RTRotaryMotor$_n78e$raw_n794 RTRotaryMotor$_n77c$raw_n786 _n78b RTRotaryMotor$_n78b$raw_n79c
4051- - 0 =RTRotaryMotor$_n77c$raw_n786
4052- - 1 =RTRotaryMotor$_n78e$raw_n794
4053.mv _n7a4 2 Y N
4054.names _n7a4
4055Y
4056# RTOnArm  == 0
4057.names RTOnArm _n7a4 _n7a3
4058.def 0
4059- =RTOnArm 1
4060.names _n7a3 _n7a5
4061- =_n7a3
4062# RTRotaryMotor  = CWiseChoice
4063.mv RTRotaryMotor$_n7a3_n7a6$true 3 CWise Stop CCWise
4064.names CWiseChoice RTRotaryMotor$_n7a3_n7a6$true
4065- =CWiseChoice
4066# RTVerticalMotor  = DownChoice
4067.mv RTVerticalMotor$_n7a3_n7a7$true 3 GoUp GoDown Stop
4068.names DownChoice RTVerticalMotor$_n7a3_n7a7$true
4069- =DownChoice
4070# if/else (RTOnArm  == 0)
4071.mv RTVerticalMotor$_n7a3$raw_n7a8 3 GoUp GoDown Stop
4072.names RTVerticalMotor$_n7a3_n7a7$true RTVerticalMotor$_n78b$raw_n79b _n7a3 RTVerticalMotor$_n7a3$raw_n7a8
4073- - 0 =RTVerticalMotor$_n78b$raw_n79b
4074- - 1 =RTVerticalMotor$_n7a3_n7a7$true
4075.mv RTRotaryMotor$_n7a3$raw_n7a9 3 CWise Stop CCWise
4076.names RTRotaryMotor$_n7a3_n7a6$true RTRotaryMotor$_n78b$raw_n79c _n7a3 RTRotaryMotor$_n7a3$raw_n7a9
4077- - 0 =RTRotaryMotor$_n78b$raw_n79c
4078- - 1 =RTRotaryMotor$_n7a3_n7a6$true
4079# if/else (RTOnTop  == 0)
4080.mv RTVerticalMotor$_n779$raw_n7b4 3 GoUp GoDown Stop
4081.names RTVerticalMotor$_n7a3$raw_n7a8 RTVerticalMotor _n779 RTVerticalMotor$_n779$raw_n7b4
4082- - 0 =RTVerticalMotor
4083- - 1 =RTVerticalMotor$_n7a3$raw_n7a8
4084.mv RTRotaryMotor$_n779$raw_n7b5 3 CWise Stop CCWise
4085.names RTRotaryMotor$_n7a3$raw_n7a9 RTRotaryMotor _n779 RTRotaryMotor$_n779$raw_n7b5
4086- - 0 =RTRotaryMotor
4087- - 1 =RTRotaryMotor$_n7a3$raw_n7a9
4088.mv _n7b7 2 Y N
4089.names _n7b7
4090N
4091# RTOnTop  == 1
4092.names RTOnTop _n7b7 _n7b6
4093.def 0
4094- =RTOnTop 1
4095.mv _n7b9 2 Y N
4096.names _n7b9
4097N
4098# RTOnBottom  == 1
4099.names RTOnBottom _n7b9 _n7b8
4100.def 0
4101- =RTOnBottom 1
4102# RTOnTop  == 1 && RTOnBottom  == 1
4103.names _n7b6 _n7b8 _n7ba
4104.def 0
41051 1 1
4106.names _n7ba _n7bb
4107- =_n7ba
4108.mv _n7bd 2 Y N
4109.names _n7bd
4110Y
4111# RTOnFB  == 0
4112.names RTOnFB _n7bd _n7bc
4113.def 0
4114- =RTOnFB 1
4115.names _n7bc _n7be
4116- =_n7bc
4117.mv _n7c0 3 GoUp GoDown Stop
4118.names _n7c0
4119GoDown
4120# RTVerticalMotor  == 1
4121.names RTVerticalMotor$_n779$raw_n7b4 _n7c0 _n7bf
4122.def 0
4123- =RTVerticalMotor$_n779$raw_n7b4 1
4124.names _n7bf _n7c1
4125- =_n7bf
4126.mv _n7c3 2 Y N
4127.names _n7c3
4128Y
4129# PieceOutFB  == 0
4130.names PieceOutFB _n7c3 _n7c2
4131.def 0
4132- =PieceOutFB 1
4133.names _n7c2 _n7c4
4134- =_n7c2
4135# TableLoaded  = 0
4136.mv TableLoaded$_n7c2_n7c5$true 2 Y N
4137.names TableLoaded$_n7c2_n7c5$true
4138Y
4139# PieceGrabbedFromFB  = 0
4140.mv PieceGrabbedFromFB$_n7c2_n7c6$true 2 Y N
4141.names PieceGrabbedFromFB$_n7c2_n7c6$true
4142Y
4143# RTRotaryMotor  = CCWiseChoice
4144.mv RTRotaryMotor$_n7c2_n7c7$true 3 CWise Stop CCWise
4145.names CCWiseChoice RTRotaryMotor$_n7c2_n7c7$true
4146- =CCWiseChoice
4147# RTVerticalMotor  = UpChoice
4148.mv RTVerticalMotor$_n7c2_n7c8$true 3 GoUp GoDown Stop
4149.names UpChoice RTVerticalMotor$_n7c2_n7c8$true
4150- =UpChoice
4151# RTRotaryMotor  = 1
4152.mv RTRotaryMotor$_n7c2_n7c9$false 3 CWise Stop CCWise
4153.names RTRotaryMotor$_n7c2_n7c9$false
4154Stop
4155# RTVerticalMotor  = 2
4156.mv RTVerticalMotor$_n7c2_n7ca$false 3 GoUp GoDown Stop
4157.names RTVerticalMotor$_n7c2_n7ca$false
4158Stop
4159# if/else (PieceOutFB  == 0)
4160.mv RTVerticalMotor$_n7c2$raw_n7cc 3 GoUp GoDown Stop
4161.names RTVerticalMotor$_n7c2_n7c8$true RTVerticalMotor$_n7c2_n7ca$false _n7c2 RTVerticalMotor$_n7c2$raw_n7cc
4162- - 0 =RTVerticalMotor$_n7c2_n7ca$false
4163- - 1 =RTVerticalMotor$_n7c2_n7c8$true
4164.mv RTRotaryMotor$_n7c2$raw_n7ce 3 CWise Stop CCWise
4165.names RTRotaryMotor$_n7c2_n7c7$true RTRotaryMotor$_n7c2_n7c9$false _n7c2 RTRotaryMotor$_n7c2$raw_n7ce
4166- - 0 =RTRotaryMotor$_n7c2_n7c9$false
4167- - 1 =RTRotaryMotor$_n7c2_n7c7$true
4168.mv TableLoaded$_n7c2$raw_n7d3 2 Y N
4169.names TableLoaded$_n7c2_n7c5$true TableLoaded _n7c2 TableLoaded$_n7c2$raw_n7d3
4170- - 0 =TableLoaded
4171- - 1 =TableLoaded$_n7c2_n7c5$true
4172.mv PieceGrabbedFromFB$_n7c2$raw_n7d5 2 Y N
4173.names PieceGrabbedFromFB$_n7c2_n7c6$true PieceGrabbedFromFB _n7c2 PieceGrabbedFromFB$_n7c2$raw_n7d5
4174- - 0 =PieceGrabbedFromFB
4175- - 1 =PieceGrabbedFromFB$_n7c2_n7c6$true
4176# RTRotaryMotor  = 1
4177.mv RTRotaryMotor$_n7bf_n7d9$false 3 CWise Stop CCWise
4178.names RTRotaryMotor$_n7bf_n7d9$false
4179Stop
4180# RTVerticalMotor  = 1
4181.mv RTVerticalMotor$_n7bf_n7da$false 3 GoUp GoDown Stop
4182.names RTVerticalMotor$_n7bf_n7da$false
4183GoDown
4184# if/else (RTVerticalMotor  == 1)
4185.mv RTVerticalMotor$_n7bf$raw_n7dc 3 GoUp GoDown Stop
4186.names RTVerticalMotor$_n7c2$raw_n7cc RTVerticalMotor$_n7bf_n7da$false _n7bf RTVerticalMotor$_n7bf$raw_n7dc
4187- - 0 =RTVerticalMotor$_n7bf_n7da$false
4188- - 1 =RTVerticalMotor$_n7c2$raw_n7cc
4189.mv RTRotaryMotor$_n7bf$raw_n7de 3 CWise Stop CCWise
4190.names RTRotaryMotor$_n7c2$raw_n7ce RTRotaryMotor$_n7bf_n7d9$false _n7bf RTRotaryMotor$_n7bf$raw_n7de
4191- - 0 =RTRotaryMotor$_n7bf_n7d9$false
4192- - 1 =RTRotaryMotor$_n7c2$raw_n7ce
4193.mv TableLoaded$_n7bf$raw_n7e3 2 Y N
4194.names TableLoaded$_n7c2$raw_n7d3 TableLoaded _n7bf TableLoaded$_n7bf$raw_n7e3
4195- - 0 =TableLoaded
4196- - 1 =TableLoaded$_n7c2$raw_n7d3
4197.mv PieceGrabbedFromFB$_n7bf$raw_n7e5 2 Y N
4198.names PieceGrabbedFromFB$_n7c2$raw_n7d5 PieceGrabbedFromFB _n7bf PieceGrabbedFromFB$_n7bf$raw_n7e5
4199- - 0 =PieceGrabbedFromFB
4200- - 1 =PieceGrabbedFromFB$_n7c2$raw_n7d5
4201# if/else (RTOnFB  == 0)
4202.mv RTVerticalMotor$_n7bc$raw_n7ea 3 GoUp GoDown Stop
4203.names RTVerticalMotor$_n7bf$raw_n7dc RTVerticalMotor$_n779$raw_n7b4 _n7bc RTVerticalMotor$_n7bc$raw_n7ea
4204- - 0 =RTVerticalMotor$_n779$raw_n7b4
4205- - 1 =RTVerticalMotor$_n7bf$raw_n7dc
4206.mv RTRotaryMotor$_n7bc$raw_n7ec 3 CWise Stop CCWise
4207.names RTRotaryMotor$_n7bf$raw_n7de RTRotaryMotor$_n779$raw_n7b5 _n7bc RTRotaryMotor$_n7bc$raw_n7ec
4208- - 0 =RTRotaryMotor$_n779$raw_n7b5
4209- - 1 =RTRotaryMotor$_n7bf$raw_n7de
4210.mv TableLoaded$_n7bc$raw_n7f1 2 Y N
4211.names TableLoaded$_n7bf$raw_n7e3 TableLoaded _n7bc TableLoaded$_n7bc$raw_n7f1
4212- - 0 =TableLoaded
4213- - 1 =TableLoaded$_n7bf$raw_n7e3
4214.mv PieceGrabbedFromFB$_n7bc$raw_n7f3 2 Y N
4215.names PieceGrabbedFromFB$_n7bf$raw_n7e5 PieceGrabbedFromFB _n7bc PieceGrabbedFromFB$_n7bc$raw_n7f3
4216- - 0 =PieceGrabbedFromFB
4217- - 1 =PieceGrabbedFromFB$_n7bf$raw_n7e5
4218.mv _n7f8 2 Y N
4219.names _n7f8
4220N
4221# RTOnFB  == 1
4222.names RTOnFB _n7f8 _n7f7
4223.def 0
4224- =RTOnFB 1
4225.mv _n7fa 2 Y N
4226.names _n7fa
4227N
4228# RTOnArm  == 1
4229.names RTOnArm _n7fa _n7f9
4230.def 0
4231- =RTOnArm 1
4232# RTOnFB  == 1 && RTOnArm  == 1
4233.names _n7f7 _n7f9 _n7fb
4234.def 0
42351 1 1
4236.names _n7fb _n7fc
4237- =_n7fb
4238.mv _n7fe 3 CWise Stop CCWise
4239.names _n7fe
4240CWise
4241# RTRotaryMotor  == 0
4242.names RTRotaryMotor$_n7bc$raw_n7ec _n7fe _n7fd
4243.def 0
4244- =RTRotaryMotor$_n7bc$raw_n7ec 1
4245.mv _n800 3 GoUp GoDown Stop
4246.names _n800
4247GoDown
4248# RTVerticalMotor  == 1
4249.names RTVerticalMotor$_n7bc$raw_n7ea _n800 _n7ff
4250.def 0
4251- =RTVerticalMotor$_n7bc$raw_n7ea 1
4252# RTRotaryMotor  == 0 && RTVerticalMotor  == 1
4253.names _n7fd _n7ff _n801
4254.def 0
42551 1 1
4256.names _n801 _n802
4257- =_n801
4258.mv _n804 2 Y N
4259.names _n804
4260Y
4261# PieceOutFB  == 0
4262.names PieceOutFB _n804 _n803
4263.def 0
4264- =PieceOutFB 1
4265.names _n803 _n805
4266- =_n803
4267# TableLoaded  = 0
4268.mv TableLoaded$_n803_n806$true 2 Y N
4269.names TableLoaded$_n803_n806$true
4270Y
4271# PieceGrabbedFromFB  = 0
4272.mv PieceGrabbedFromFB$_n803_n807$true 2 Y N
4273.names PieceGrabbedFromFB$_n803_n807$true
4274Y
4275# RTRotaryMotor  = CCWiseChoice
4276.mv RTRotaryMotor$_n803_n808$true 3 CWise Stop CCWise
4277.names CCWiseChoice RTRotaryMotor$_n803_n808$true
4278- =CCWiseChoice
4279# RTVerticalMotor  = UpChoice
4280.mv RTVerticalMotor$_n803_n809$true 3 GoUp GoDown Stop
4281.names UpChoice RTVerticalMotor$_n803_n809$true
4282- =UpChoice
4283# RTRotaryMotor  = 1
4284.mv RTRotaryMotor$_n803_n80a$false 3 CWise Stop CCWise
4285.names RTRotaryMotor$_n803_n80a$false
4286Stop
4287# RTVerticalMotor  = 2
4288.mv RTVerticalMotor$_n803_n80b$false 3 GoUp GoDown Stop
4289.names RTVerticalMotor$_n803_n80b$false
4290Stop
4291# if/else (PieceOutFB  == 0)
4292.mv TableLoaded$_n803$raw_n80c 2 Y N
4293.names TableLoaded$_n803_n806$true TableLoaded$_n7bc$raw_n7f1 _n803 TableLoaded$_n803$raw_n80c
4294- - 0 =TableLoaded$_n7bc$raw_n7f1
4295- - 1 =TableLoaded$_n803_n806$true
4296.mv RTVerticalMotor$_n803$raw_n80d 3 GoUp GoDown Stop
4297.names RTVerticalMotor$_n803_n809$true RTVerticalMotor$_n803_n80b$false _n803 RTVerticalMotor$_n803$raw_n80d
4298- - 0 =RTVerticalMotor$_n803_n80b$false
4299- - 1 =RTVerticalMotor$_n803_n809$true
4300.mv RTRotaryMotor$_n803$raw_n80e 3 CWise Stop CCWise
4301.names RTRotaryMotor$_n803_n808$true RTRotaryMotor$_n803_n80a$false _n803 RTRotaryMotor$_n803$raw_n80e
4302- - 0 =RTRotaryMotor$_n803_n80a$false
4303- - 1 =RTRotaryMotor$_n803_n808$true
4304.mv PieceGrabbedFromFB$_n803$raw_n80f 2 Y N
4305.names PieceGrabbedFromFB$_n803_n807$true PieceGrabbedFromFB$_n7bc$raw_n7f3 _n803 PieceGrabbedFromFB$_n803$raw_n80f
4306- - 0 =PieceGrabbedFromFB$_n7bc$raw_n7f3
4307- - 1 =PieceGrabbedFromFB$_n803_n807$true
4308.mv _n81d 3 CWise Stop CCWise
4309.names _n81d
4310CWise
4311# RTRotaryMotor  != 0
4312.names RTRotaryMotor$_n7bc$raw_n7ec _n81d _n81c
4313.def 1
4314- =RTRotaryMotor$_n7bc$raw_n7ec 0
4315.mv _n81f 3 GoUp GoDown Stop
4316.names _n81f
4317GoDown
4318# RTVerticalMotor  == 1
4319.names RTVerticalMotor$_n7bc$raw_n7ea _n81f _n81e
4320.def 0
4321- =RTVerticalMotor$_n7bc$raw_n7ea 1
4322# RTRotaryMotor  != 0 && RTVerticalMotor  == 1
4323.names _n81c _n81e _n820
4324.def 0
43251 1 1
4326.names _n820 _n821
4327- =_n820
4328# RTRotaryMotor  = CWiseChoice
4329.mv RTRotaryMotor$_n820_n822$true 3 CWise Stop CCWise
4330.names CWiseChoice RTRotaryMotor$_n820_n822$true
4331- =CWiseChoice
4332# RTVerticalMotor  = 2
4333.mv RTVerticalMotor$_n820_n823$true 3 GoUp GoDown Stop
4334.names RTVerticalMotor$_n820_n823$true
4335Stop
4336.mv _n825 3 CWise Stop CCWise
4337.names _n825
4338CWise
4339# RTRotaryMotor  == 0
4340.names RTRotaryMotor$_n7bc$raw_n7ec _n825 _n824
4341.def 0
4342- =RTRotaryMotor$_n7bc$raw_n7ec 1
4343.mv _n827 3 GoUp GoDown Stop
4344.names _n827
4345GoDown
4346# RTVerticalMotor  != 1
4347.names RTVerticalMotor$_n7bc$raw_n7ea _n827 _n826
4348.def 1
4349- =RTVerticalMotor$_n7bc$raw_n7ea 0
4350# RTRotaryMotor  == 0 && RTVerticalMotor  != 1
4351.names _n824 _n826 _n828
4352.def 0
43531 1 1
4354.names _n828 _n829
4355- =_n828
4356# RTRotaryMotor  = 1
4357.mv RTRotaryMotor$_n828_n82a$true 3 CWise Stop CCWise
4358.names RTRotaryMotor$_n828_n82a$true
4359Stop
4360# RTVerticalMotor  = DownChoice
4361.mv RTVerticalMotor$_n828_n82b$true 3 GoUp GoDown Stop
4362.names DownChoice RTVerticalMotor$_n828_n82b$true
4363- =DownChoice
4364.mv _n82d 3 CWise Stop CCWise
4365.names _n82d
4366CWise
4367# RTRotaryMotor  != 0
4368.names RTRotaryMotor$_n7bc$raw_n7ec _n82d _n82c
4369.def 1
4370- =RTRotaryMotor$_n7bc$raw_n7ec 0
4371.mv _n82f 3 GoUp GoDown Stop
4372.names _n82f
4373GoDown
4374# RTVerticalMotor  != 1
4375.names RTVerticalMotor$_n7bc$raw_n7ea _n82f _n82e
4376.def 1
4377- =RTVerticalMotor$_n7bc$raw_n7ea 0
4378# RTRotaryMotor  != 0 && RTVerticalMotor  != 1
4379.names _n82c _n82e _n830
4380.def 0
43811 1 1
4382.names _n830 _n831
4383- =_n830
4384# RTRotaryMotor  = CWiseChoice
4385.mv RTRotaryMotor$_n830_n832$true 3 CWise Stop CCWise
4386.names CWiseChoice RTRotaryMotor$_n830_n832$true
4387- =CWiseChoice
4388# RTVerticalMotor  = DownChoice
4389.mv RTVerticalMotor$_n830_n833$true 3 GoUp GoDown Stop
4390.names DownChoice RTVerticalMotor$_n830_n833$true
4391- =DownChoice
4392# if/else (RTRotaryMotor  != 0 && RTVerticalMotor  != 1)
4393.mv RTVerticalMotor$_n830$raw_n835 3 GoUp GoDown Stop
4394.names RTVerticalMotor$_n830_n833$true RTVerticalMotor$_n7bc$raw_n7ea _n830 RTVerticalMotor$_n830$raw_n835
4395- - 0 =RTVerticalMotor$_n7bc$raw_n7ea
4396- - 1 =RTVerticalMotor$_n830_n833$true
4397.mv RTRotaryMotor$_n830$raw_n836 3 CWise Stop CCWise
4398.names RTRotaryMotor$_n830_n832$true RTRotaryMotor$_n7bc$raw_n7ec _n830 RTRotaryMotor$_n830$raw_n836
4399- - 0 =RTRotaryMotor$_n7bc$raw_n7ec
4400- - 1 =RTRotaryMotor$_n830_n832$true
4401# if/else (RTRotaryMotor  == 0 && RTVerticalMotor  != 1)
4402.mv RTVerticalMotor$_n828$raw_n845 3 GoUp GoDown Stop
4403.names RTVerticalMotor$_n828_n82b$true RTVerticalMotor$_n830$raw_n835 _n828 RTVerticalMotor$_n828$raw_n845
4404- - 0 =RTVerticalMotor$_n830$raw_n835
4405- - 1 =RTVerticalMotor$_n828_n82b$true
4406.mv RTRotaryMotor$_n828$raw_n847 3 CWise Stop CCWise
4407.names RTRotaryMotor$_n828_n82a$true RTRotaryMotor$_n830$raw_n836 _n828 RTRotaryMotor$_n828$raw_n847
4408- - 0 =RTRotaryMotor$_n830$raw_n836
4409- - 1 =RTRotaryMotor$_n828_n82a$true
4410# if/else (RTRotaryMotor  != 0 && RTVerticalMotor  == 1)
4411.mv RTVerticalMotor$_n820$raw_n855 3 GoUp GoDown Stop
4412.names RTVerticalMotor$_n820_n823$true RTVerticalMotor$_n828$raw_n845 _n820 RTVerticalMotor$_n820$raw_n855
4413- - 0 =RTVerticalMotor$_n828$raw_n845
4414- - 1 =RTVerticalMotor$_n820_n823$true
4415.mv RTRotaryMotor$_n820$raw_n856 3 CWise Stop CCWise
4416.names RTRotaryMotor$_n820_n822$true RTRotaryMotor$_n828$raw_n847 _n820 RTRotaryMotor$_n820$raw_n856
4417- - 0 =RTRotaryMotor$_n828$raw_n847
4418- - 1 =RTRotaryMotor$_n820_n822$true
4419# if/else (RTRotaryMotor  == 0 && RTVerticalMotor  == 1)
4420.mv TableLoaded$_n801$raw_n864 2 Y N
4421.names TableLoaded$_n803$raw_n80c TableLoaded$_n7bc$raw_n7f1 _n801 TableLoaded$_n801$raw_n864
4422- - 0 =TableLoaded$_n7bc$raw_n7f1
4423- - 1 =TableLoaded$_n803$raw_n80c
4424.mv RTVerticalMotor$_n801$raw_n865 3 GoUp GoDown Stop
4425.names RTVerticalMotor$_n803$raw_n80d RTVerticalMotor$_n820$raw_n855 _n801 RTVerticalMotor$_n801$raw_n865
4426- - 0 =RTVerticalMotor$_n820$raw_n855
4427- - 1 =RTVerticalMotor$_n803$raw_n80d
4428.mv PieceGrabbedFromFB$_n801$raw_n866 2 Y N
4429.names PieceGrabbedFromFB$_n803$raw_n80f PieceGrabbedFromFB$_n7bc$raw_n7f3 _n801 PieceGrabbedFromFB$_n801$raw_n866
4430- - 0 =PieceGrabbedFromFB$_n7bc$raw_n7f3
4431- - 1 =PieceGrabbedFromFB$_n803$raw_n80f
4432.mv RTRotaryMotor$_n801$raw_n867 3 CWise Stop CCWise
4433.names RTRotaryMotor$_n803$raw_n80e RTRotaryMotor$_n820$raw_n856 _n801 RTRotaryMotor$_n801$raw_n867
4434- - 0 =RTRotaryMotor$_n820$raw_n856
4435- - 1 =RTRotaryMotor$_n803$raw_n80e
4436# if/else (RTOnFB  == 1 && RTOnArm  == 1)
4437.mv TableLoaded$_n7fb$raw_n874 2 Y N
4438.names TableLoaded$_n801$raw_n864 TableLoaded$_n7bc$raw_n7f1 _n7fb TableLoaded$_n7fb$raw_n874
4439- - 0 =TableLoaded$_n7bc$raw_n7f1
4440- - 1 =TableLoaded$_n801$raw_n864
4441.mv RTVerticalMotor$_n7fb$raw_n875 3 GoUp GoDown Stop
4442.names RTVerticalMotor$_n801$raw_n865 RTVerticalMotor$_n7bc$raw_n7ea _n7fb RTVerticalMotor$_n7fb$raw_n875
4443- - 0 =RTVerticalMotor$_n7bc$raw_n7ea
4444- - 1 =RTVerticalMotor$_n801$raw_n865
4445.mv RTRotaryMotor$_n7fb$raw_n876 3 CWise Stop CCWise
4446.names RTRotaryMotor$_n801$raw_n867 RTRotaryMotor$_n7bc$raw_n7ec _n7fb RTRotaryMotor$_n7fb$raw_n876
4447- - 0 =RTRotaryMotor$_n7bc$raw_n7ec
4448- - 1 =RTRotaryMotor$_n801$raw_n867
4449.mv PieceGrabbedFromFB$_n7fb$raw_n877 2 Y N
4450.names PieceGrabbedFromFB$_n801$raw_n866 PieceGrabbedFromFB$_n7bc$raw_n7f3 _n7fb PieceGrabbedFromFB$_n7fb$raw_n877
4451- - 0 =PieceGrabbedFromFB$_n7bc$raw_n7f3
4452- - 1 =PieceGrabbedFromFB$_n801$raw_n866
4453.mv _n885 2 Y N
4454.names _n885
4455Y
4456# RTOnArm  == 0
4457.names RTOnArm _n885 _n884
4458.def 0
4459- =RTOnArm 1
4460.names _n884 _n886
4461- =_n884
4462# RTRotaryMotor  = CWiseChoice
4463.mv RTRotaryMotor$_n884_n887$true 3 CWise Stop CCWise
4464.names CWiseChoice RTRotaryMotor$_n884_n887$true
4465- =CWiseChoice
4466.mv _n889 3 GoUp GoDown Stop
4467.names _n889
4468GoDown
4469# RTVerticalMotor  == 1
4470.names RTVerticalMotor$_n7fb$raw_n875 _n889 _n888
4471.def 0
4472- =RTVerticalMotor$_n7fb$raw_n875 1
4473.names _n888 _n88a
4474- =_n888
4475# RTVerticalMotor  = 2
4476.mv RTVerticalMotor$_n888_n88b$true 3 GoUp GoDown Stop
4477.names RTVerticalMotor$_n888_n88b$true
4478Stop
4479# RTVerticalMotor  = 1
4480.mv RTVerticalMotor$_n888_n88c$false 3 GoUp GoDown Stop
4481.names RTVerticalMotor$_n888_n88c$false
4482GoDown
4483# if/else (RTVerticalMotor  == 1)
4484.mv RTVerticalMotor$_n888$raw_n88e 3 GoUp GoDown Stop
4485.names RTVerticalMotor$_n888_n88b$true RTVerticalMotor$_n888_n88c$false _n888 RTVerticalMotor$_n888$raw_n88e
4486- - 0 =RTVerticalMotor$_n888_n88c$false
4487- - 1 =RTVerticalMotor$_n888_n88b$true
4488# if/else (RTOnArm  == 0)
4489.mv RTVerticalMotor$_n884$raw_n89e 3 GoUp GoDown Stop
4490.names RTVerticalMotor$_n888$raw_n88e RTVerticalMotor$_n7fb$raw_n875 _n884 RTVerticalMotor$_n884$raw_n89e
4491- - 0 =RTVerticalMotor$_n7fb$raw_n875
4492- - 1 =RTVerticalMotor$_n888$raw_n88e
4493.mv RTRotaryMotor$_n884$raw_n89f 3 CWise Stop CCWise
4494.names RTRotaryMotor$_n884_n887$true RTRotaryMotor$_n7fb$raw_n876 _n884 RTRotaryMotor$_n884$raw_n89f
4495- - 0 =RTRotaryMotor$_n7fb$raw_n876
4496- - 1 =RTRotaryMotor$_n884_n887$true
4497# if/else (RTOnTop  == 1 && RTOnBottom  == 1)
4498.mv RTVerticalMotor$_n7ba$raw_n8ae 3 GoUp GoDown Stop
4499.names RTVerticalMotor$_n884$raw_n89e RTVerticalMotor$_n779$raw_n7b4 _n7ba RTVerticalMotor$_n7ba$raw_n8ae
4500- - 0 =RTVerticalMotor$_n779$raw_n7b4
4501- - 1 =RTVerticalMotor$_n884$raw_n89e
4502.mv RTRotaryMotor$_n7ba$raw_n8b0 3 CWise Stop CCWise
4503.names RTRotaryMotor$_n884$raw_n89f RTRotaryMotor$_n779$raw_n7b5 _n7ba RTRotaryMotor$_n7ba$raw_n8b0
4504- - 0 =RTRotaryMotor$_n779$raw_n7b5
4505- - 1 =RTRotaryMotor$_n884$raw_n89f
4506.mv TableLoaded$_n7ba$raw_n8b5 2 Y N
4507.names TableLoaded$_n7fb$raw_n874 TableLoaded _n7ba TableLoaded$_n7ba$raw_n8b5
4508- - 0 =TableLoaded
4509- - 1 =TableLoaded$_n7fb$raw_n874
4510.mv PieceGrabbedFromFB$_n7ba$raw_n8b7 2 Y N
4511.names PieceGrabbedFromFB$_n7fb$raw_n877 PieceGrabbedFromFB _n7ba PieceGrabbedFromFB$_n7ba$raw_n8b7
4512- - 0 =PieceGrabbedFromFB
4513- - 1 =PieceGrabbedFromFB$_n7fb$raw_n877
4514.mv _n8bc 2 Y N
4515.names _n8bc
4516Y
4517# RTOnBottom  == 0
4518.names RTOnBottom _n8bc _n8bb
4519.def 0
4520- =RTOnBottom 1
4521.names _n8bb _n8bd
4522- =_n8bb
4523.mv _n8bf 2 Y N
4524.names _n8bf
4525Y
4526# RTOnFB  == 0
4527.names RTOnFB _n8bf _n8be
4528.def 0
4529- =RTOnFB 1
4530.names _n8be _n8c0
4531- =_n8be
4532.mv _n8c2 2 Y N
4533.names _n8c2
4534Y
4535# PieceOutFB  == 0
4536.names PieceOutFB _n8c2 _n8c1
4537.def 0
4538- =PieceOutFB 1
4539.names _n8c1 _n8c3
4540- =_n8c1
4541# PieceGrabbedFromFB  = 0
4542.mv PieceGrabbedFromFB$_n8c1_n8c4$true 2 Y N
4543.names PieceGrabbedFromFB$_n8c1_n8c4$true
4544Y
4545# RTRotaryMotor  = CCWiseChoice
4546.mv RTRotaryMotor$_n8c1_n8c5$true 3 CWise Stop CCWise
4547.names CCWiseChoice RTRotaryMotor$_n8c1_n8c5$true
4548- =CCWiseChoice
4549# RTVerticalMotor  = UpChoice
4550.mv RTVerticalMotor$_n8c1_n8c6$true 3 GoUp GoDown Stop
4551.names UpChoice RTVerticalMotor$_n8c1_n8c6$true
4552- =UpChoice
4553# TableLoaded  = 0
4554.mv TableLoaded$_n8c1_n8c7$true 2 Y N
4555.names TableLoaded$_n8c1_n8c7$true
4556Y
4557# RTRotaryMotor  = 1
4558.mv RTRotaryMotor$_n8c1_n8c8$false 3 CWise Stop CCWise
4559.names RTRotaryMotor$_n8c1_n8c8$false
4560Stop
4561# RTVerticalMotor  = 2
4562.mv RTVerticalMotor$_n8c1_n8c9$false 3 GoUp GoDown Stop
4563.names RTVerticalMotor$_n8c1_n8c9$false
4564Stop
4565# if/else (PieceOutFB  == 0)
4566.mv TableLoaded$_n8c1$raw_n8ca 2 Y N
4567.names TableLoaded$_n8c1_n8c7$true TableLoaded$_n7ba$raw_n8b5 _n8c1 TableLoaded$_n8c1$raw_n8ca
4568- - 0 =TableLoaded$_n7ba$raw_n8b5
4569- - 1 =TableLoaded$_n8c1_n8c7$true
4570.mv RTVerticalMotor$_n8c1$raw_n8cb 3 GoUp GoDown Stop
4571.names RTVerticalMotor$_n8c1_n8c6$true RTVerticalMotor$_n8c1_n8c9$false _n8c1 RTVerticalMotor$_n8c1$raw_n8cb
4572- - 0 =RTVerticalMotor$_n8c1_n8c9$false
4573- - 1 =RTVerticalMotor$_n8c1_n8c6$true
4574.mv RTRotaryMotor$_n8c1$raw_n8cc 3 CWise Stop CCWise
4575.names RTRotaryMotor$_n8c1_n8c5$true RTRotaryMotor$_n8c1_n8c8$false _n8c1 RTRotaryMotor$_n8c1$raw_n8cc
4576- - 0 =RTRotaryMotor$_n8c1_n8c8$false
4577- - 1 =RTRotaryMotor$_n8c1_n8c5$true
4578.mv PieceGrabbedFromFB$_n8c1$raw_n8cd 2 Y N
4579.names PieceGrabbedFromFB$_n8c1_n8c4$true PieceGrabbedFromFB$_n7ba$raw_n8b7 _n8c1 PieceGrabbedFromFB$_n8c1$raw_n8cd
4580- - 0 =PieceGrabbedFromFB$_n7ba$raw_n8b7
4581- - 1 =PieceGrabbedFromFB$_n8c1_n8c4$true
4582# if/else (RTOnFB  == 0)
4583.mv TableLoaded$_n8be$raw_n8da 2 Y N
4584.names TableLoaded$_n8c1$raw_n8ca TableLoaded$_n7ba$raw_n8b5 _n8be TableLoaded$_n8be$raw_n8da
4585- - 0 =TableLoaded$_n7ba$raw_n8b5
4586- - 1 =TableLoaded$_n8c1$raw_n8ca
4587.mv RTVerticalMotor$_n8be$raw_n8db 3 GoUp GoDown Stop
4588.names RTVerticalMotor$_n8c1$raw_n8cb RTVerticalMotor$_n7ba$raw_n8ae _n8be RTVerticalMotor$_n8be$raw_n8db
4589- - 0 =RTVerticalMotor$_n7ba$raw_n8ae
4590- - 1 =RTVerticalMotor$_n8c1$raw_n8cb
4591.mv PieceGrabbedFromFB$_n8be$raw_n8dc 2 Y N
4592.names PieceGrabbedFromFB$_n8c1$raw_n8cd PieceGrabbedFromFB$_n7ba$raw_n8b7 _n8be PieceGrabbedFromFB$_n8be$raw_n8dc
4593- - 0 =PieceGrabbedFromFB$_n7ba$raw_n8b7
4594- - 1 =PieceGrabbedFromFB$_n8c1$raw_n8cd
4595.mv RTRotaryMotor$_n8be$raw_n8dd 3 CWise Stop CCWise
4596.names RTRotaryMotor$_n8c1$raw_n8cc RTRotaryMotor$_n7ba$raw_n8b0 _n8be RTRotaryMotor$_n8be$raw_n8dd
4597- - 0 =RTRotaryMotor$_n7ba$raw_n8b0
4598- - 1 =RTRotaryMotor$_n8c1$raw_n8cc
4599.mv _n8eb 2 Y N
4600.names _n8eb
4601N
4602# RTOnFB  == 1
4603.names RTOnFB _n8eb _n8ea
4604.def 0
4605- =RTOnFB 1
4606.mv _n8ed 2 Y N
4607.names _n8ed
4608N
4609# RTOnArm  == 1
4610.names RTOnArm _n8ed _n8ec
4611.def 0
4612- =RTOnArm 1
4613# RTOnFB  == 1 && RTOnArm  == 1
4614.names _n8ea _n8ec _n8ee
4615.def 0
46161 1 1
4617.names _n8ee _n8ef
4618- =_n8ee
4619# RTVerticalMotor  = 2
4620.mv RTVerticalMotor$_n8ee_n8f0$true 3 GoUp GoDown Stop
4621.names RTVerticalMotor$_n8ee_n8f0$true
4622Stop
4623.mv _n8f2 3 CWise Stop CCWise
4624.names _n8f2
4625CWise
4626# RTRotaryMotor  == 0
4627.names RTRotaryMotor$_n8be$raw_n8dd _n8f2 _n8f1
4628.def 0
4629- =RTRotaryMotor$_n8be$raw_n8dd 1
4630.names _n8f1 _n8f3
4631- =_n8f1
4632# RTRotaryMotor  = 1
4633.mv RTRotaryMotor$_n8f1_n8f4$true 3 CWise Stop CCWise
4634.names RTRotaryMotor$_n8f1_n8f4$true
4635Stop
4636# RTRotaryMotor  = 0
4637.mv RTRotaryMotor$_n8f1_n8f5$false 3 CWise Stop CCWise
4638.names RTRotaryMotor$_n8f1_n8f5$false
4639CWise
4640# if/else (RTRotaryMotor  == 0)
4641.mv RTRotaryMotor$_n8f1$raw_n8f8 3 CWise Stop CCWise
4642.names RTRotaryMotor$_n8f1_n8f4$true RTRotaryMotor$_n8f1_n8f5$false _n8f1 RTRotaryMotor$_n8f1$raw_n8f8
4643- - 0 =RTRotaryMotor$_n8f1_n8f5$false
4644- - 1 =RTRotaryMotor$_n8f1_n8f4$true
4645# if/else (RTOnFB  == 1 && RTOnArm  == 1)
4646.mv RTVerticalMotor$_n8ee$raw_n907 3 GoUp GoDown Stop
4647.names RTVerticalMotor$_n8ee_n8f0$true RTVerticalMotor$_n8be$raw_n8db _n8ee RTVerticalMotor$_n8ee$raw_n907
4648- - 0 =RTVerticalMotor$_n8be$raw_n8db
4649- - 1 =RTVerticalMotor$_n8ee_n8f0$true
4650.mv RTRotaryMotor$_n8ee$raw_n909 3 CWise Stop CCWise
4651.names RTRotaryMotor$_n8f1$raw_n8f8 RTRotaryMotor$_n8be$raw_n8dd _n8ee RTRotaryMotor$_n8ee$raw_n909
4652- - 0 =RTRotaryMotor$_n8be$raw_n8dd
4653- - 1 =RTRotaryMotor$_n8f1$raw_n8f8
4654.mv _n917 2 Y N
4655.names _n917
4656Y
4657# RTOnArm  == 0
4658.names RTOnArm _n917 _n916
4659.def 0
4660- =RTOnArm 1
4661.names _n916 _n918
4662- =_n916
4663# RTRotaryMotor  = 0
4664.mv RTRotaryMotor$_n916_n919$true 3 CWise Stop CCWise
4665.names RTRotaryMotor$_n916_n919$true
4666CWise
4667# RTVerticalMotor  = 2
4668.mv RTVerticalMotor$_n916_n91a$true 3 GoUp GoDown Stop
4669.names RTVerticalMotor$_n916_n91a$true
4670Stop
4671# if/else (RTOnArm  == 0)
4672.mv RTVerticalMotor$_n916$raw_n91c 3 GoUp GoDown Stop
4673.names RTVerticalMotor$_n916_n91a$true RTVerticalMotor$_n8ee$raw_n907 _n916 RTVerticalMotor$_n916$raw_n91c
4674- - 0 =RTVerticalMotor$_n8ee$raw_n907
4675- - 1 =RTVerticalMotor$_n916_n91a$true
4676.mv RTRotaryMotor$_n916$raw_n91e 3 CWise Stop CCWise
4677.names RTRotaryMotor$_n916_n919$true RTRotaryMotor$_n8ee$raw_n909 _n916 RTRotaryMotor$_n916$raw_n91e
4678- - 0 =RTRotaryMotor$_n8ee$raw_n909
4679- - 1 =RTRotaryMotor$_n916_n919$true
4680# if/else (RTOnBottom  == 0)
4681.mv TableLoaded$_n8bb$raw_n92b 2 Y N
4682.names TableLoaded$_n8be$raw_n8da TableLoaded$_n7ba$raw_n8b5 _n8bb TableLoaded$_n8bb$raw_n92b
4683- - 0 =TableLoaded$_n7ba$raw_n8b5
4684- - 1 =TableLoaded$_n8be$raw_n8da
4685.mv RTVerticalMotor$_n8bb$raw_n92c 3 GoUp GoDown Stop
4686.names RTVerticalMotor$_n916$raw_n91c RTVerticalMotor$_n7ba$raw_n8ae _n8bb RTVerticalMotor$_n8bb$raw_n92c
4687- - 0 =RTVerticalMotor$_n7ba$raw_n8ae
4688- - 1 =RTVerticalMotor$_n916$raw_n91c
4689.mv RTRotaryMotor$_n8bb$raw_n92d 3 CWise Stop CCWise
4690.names RTRotaryMotor$_n916$raw_n91e RTRotaryMotor$_n7ba$raw_n8b0 _n8bb RTRotaryMotor$_n8bb$raw_n92d
4691- - 0 =RTRotaryMotor$_n7ba$raw_n8b0
4692- - 1 =RTRotaryMotor$_n916$raw_n91e
4693.mv PieceGrabbedFromFB$_n8bb$raw_n92e 2 Y N
4694.names PieceGrabbedFromFB$_n8be$raw_n8dc PieceGrabbedFromFB$_n7ba$raw_n8b7 _n8bb PieceGrabbedFromFB$_n8bb$raw_n92e
4695- - 0 =PieceGrabbedFromFB$_n7ba$raw_n8b7
4696- - 1 =PieceGrabbedFromFB$_n8be$raw_n8dc
4697# case (TableLoaded )
4698.mv TableLoaded$_n776$raw_n943 2 Y N
4699.names TableLoaded$_n8bb$raw_n92b TableLoaded _n776 TableLoaded$_n776$raw_n943
4700- - 0 =TableLoaded
4701- - 1 =TableLoaded$_n8bb$raw_n92b
4702.mv RTVerticalMotor$_n776$raw_n944 3 GoUp GoDown Stop
4703.names RTVerticalMotor$_n8bb$raw_n92c RTVerticalMotor _n776 RTVerticalMotor$_n776$raw_n944
4704- - 0 =RTVerticalMotor
4705- - 1 =RTVerticalMotor$_n8bb$raw_n92c
4706.mv PieceGrabbedFromFB$_n776$raw_n945 2 Y N
4707.names PieceGrabbedFromFB$_n8bb$raw_n92e PieceGrabbedFromFB _n776 PieceGrabbedFromFB$_n776$raw_n945
4708- - 0 =PieceGrabbedFromFB
4709- - 1 =PieceGrabbedFromFB$_n8bb$raw_n92e
4710.mv RTRotaryMotor$_n776$raw_n946 3 CWise Stop CCWise
4711.names RTRotaryMotor$_n8bb$raw_n92d RTRotaryMotor _n776 RTRotaryMotor$_n776$raw_n946
4712- - 0 =RTRotaryMotor
4713- - 1 =RTRotaryMotor$_n8bb$raw_n92d
4714.mv TableLoaded$_n5b7$raw_n947 2 Y N
4715.names TableLoaded$_n5ba$raw_n629 TableLoaded$_n776$raw_n943 _n5b7 TableLoaded$_n5b7$raw_n947
4716- - 0 =TableLoaded$_n776$raw_n943
4717- - 1 =TableLoaded$_n5ba$raw_n629
4718.mv RTVerticalMotor$_n5b7$raw_n949 3 GoUp GoDown Stop
4719.names RTVerticalMotor$_n70d$raw_n768 RTVerticalMotor$_n776$raw_n944 _n5b7 RTVerticalMotor$_n5b7$raw_n949
4720- - 0 =RTVerticalMotor$_n776$raw_n944
4721- - 1 =RTVerticalMotor$_n70d$raw_n768
4722.mv RTRotaryMotor$_n5b7$raw_n94a 3 CWise Stop CCWise
4723.names RTRotaryMotor$_n70d$raw_n769 RTRotaryMotor$_n776$raw_n946 _n5b7 RTRotaryMotor$_n5b7$raw_n94a
4724- - 0 =RTRotaryMotor$_n776$raw_n946
4725- - 1 =RTRotaryMotor$_n70d$raw_n769
4726.mv RTOutReady$_n5b7$raw_n950 2 Y N
4727.names RTOutReady$_n631$raw_n6fe RTOutReady _n5b7 RTOutReady$_n5b7$raw_n950
4728- - 0 =RTOutReady
4729- - 1 =RTOutReady$_n631$raw_n6fe
4730.mv PieceGrabbedFromFB$_n5b7$raw_n955 2 Y N
4731.names PieceGrabbedFromFB PieceGrabbedFromFB$_n776$raw_n945 _n5b7 PieceGrabbedFromFB$_n5b7$raw_n955
4732- - 0 =PieceGrabbedFromFB$_n776$raw_n945
4733- - 1 =PieceGrabbedFromFB
4734.mv _n958 2 Y N
4735.names _n958
4736N
4737# PieceOutFB  == 1
4738.names PieceOutFB _n958 _n957
4739.def 0
4740- =PieceOutFB 1
4741.mv _n95a 2 Y N
4742.names _n95a
4743Y
4744# PieceGrabbedFromFB  == 0
4745.names PieceGrabbedFromFB$_n5b7$raw_n955 _n95a _n959
4746.def 0
4747- =PieceGrabbedFromFB$_n5b7$raw_n955 1
4748# PieceOutFB  == 1 && PieceGrabbedFromFB  == 0
4749.names _n957 _n959 _n95b
4750.def 0
47511 1 1
4752.names _n95b _n95c
4753- =_n95b
4754# PieceGrabbedFromFB  = 1
4755.mv PieceGrabbedFromFB$_n95b_n95d$true 2 Y N
4756.names PieceGrabbedFromFB$_n95b_n95d$true
4757N
4758# if/else (PieceOutFB  == 1 && PieceGrabbedFromFB  == 0)
4759.mv PieceGrabbedFromFB$_n95b$raw_n961 2 Y N
4760.names PieceGrabbedFromFB$_n95b_n95d$true PieceGrabbedFromFB$_n5b7$raw_n955 _n95b PieceGrabbedFromFB$_n95b$raw_n961
4761- - 0 =PieceGrabbedFromFB$_n5b7$raw_n955
4762- - 1 =PieceGrabbedFromFB$_n95b_n95d$true
4763.mv _n973 2 Y N
4764.names _n973
4765Y
4766# RTOutReady  == 0
4767.names RTOutReady$_n5b7$raw_n950 _n973 _n972
4768.def 0
4769- =RTOutReady$_n5b7$raw_n950 1
4770.mv _n975 2 Y N
4771.names _n975
4772Y
4773# PieceGrabbedFromRT  == 0
4774.names PieceGrabbedFromRT _n975 _n974
4775.def 0
4776- =PieceGrabbedFromRT 1
4777# RTOutReady  == 0 && PieceGrabbedFromRT  == 0
4778.names _n972 _n974 _n976
4779.def 0
47801 1 1
4781.names _n976 _n977
4782- =_n976
4783# RTOutReady  = 1
4784.mv RTOutReady$_n976_n978$true 2 Y N
4785.names RTOutReady$_n976_n978$true
4786N
4787# if/else (RTOutReady  == 0 && PieceGrabbedFromRT  == 0)
4788.mv RTOutReady$_n976$raw_n97a 2 Y N
4789.names RTOutReady$_n976_n978$true RTOutReady$_n5b7$raw_n950 _n976 RTOutReady$_n976$raw_n97a
4790- - 0 =RTOutReady$_n5b7$raw_n950
4791- - 1 =RTOutReady$_n976_n978$true
4792# conflict arbitrators
4793.names _n5b7 _n5bc _n5f8 _n5fb _n776 _n7bb _n7be _n7c1 _n7c4 _n7fc _n802 _n805 _n8bd _n8c0 _n8c3 _n98d
4794.def 0
4795 1 1 1 1 - - - - - - - - - - - 1
4796 0 - - - 1 1 1 1 1 - - - - - - 1
4797 0 - - - 1 1 - - - 1 1 1 - - - 1
4798 0 - - - 1 - - - - - - - 1 1 1 1
4799.mv _n98e 2 Y N
4800.names _n98d TableLoaded$_n5b7$raw_n947 TableLoaded _n98e
48011 - - =TableLoaded$_n5b7$raw_n947
48020 - - =TableLoaded
4803.names UpChoice$raw_n5b0  UpChoice
4804- =UpChoice$raw_n5b0
4805.names CCWiseChoice$raw_n5af  CCWiseChoice
4806- =CCWiseChoice$raw_n5af
4807.names DownChoice$raw_n5b1  DownChoice
4808- =DownChoice$raw_n5b1
4809.names _n5b7 _n5bc _n5cd _n5d1 _n5f8 _n5fb _n632 _n661 _n667 _n6d5 _n6d9 _n977 _n99d
4810.def 0
4811 1 1 1 1 - - - - - - - - 1
4812 1 1 - - 1 1 - - - - - - 1
4813 1 1 - - 1 0 - - - - - - 1
4814 1 - - - - - 1 1 1 - - - 1
4815 1 - - - - - 1 - - 1 1 - 1
4816 - - - - - - - - - - - 1 1
4817.mv _n99e 2 Y N
4818.names _n99d RTOutReady$_n976$raw_n97a RTOutReady _n99e
48191 - - =RTOutReady$_n976$raw_n97a
48200 - - =RTOutReady
4821.names CWiseChoice$raw_n5ae  CWiseChoice
4822- =CWiseChoice$raw_n5ae
4823.names _n5b7 _n5bc _n5bf _n5cd _n5f8 _n5fb _n632 _n635 _n639 _n661 _n667 _n670 _n678 _n680 _n6d5 _n6d9 _n70f _n712 _n72a _n753 _n776 _n77b _n77e _n78c _n7a5 _n7bb _n7be _n7c1 _n7c4 _n7fc _n802 _n805 _n821 _n829 _n831 _n886 _n88a _n8bd _n8c0 _n8c3 _n8ef _n918 _n9ad
4824.def 0
4825 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4826 1 1 - 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4827 1 1 - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4828 1 1 - - 1 0 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4829 1 - - - - - 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4830 1 - - - - - 1 1 0 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4831 1 - - - - - 1 - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4832 1 - - - - - 1 - - 1 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4833 1 - - - - - 1 - - 1 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4834 1 - - - - - 1 - - 1 0 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4835 1 - - - - - 1 - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4836 1 - - - - - 1 - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4837 1 - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - 1
4838 1 - - - - - - - - - - - - - - - 1 - 1 - - - - - - - - - - - - - - - - - - - - - - - 1
4839 1 - - - - - - - - - - - - - - - 1 - - 1 - - - - - - - - - - - - - - - - - - - - - - 1
4840 0 - - - - - - - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - - - - - - - - 1
4841 0 - - - - - - - - - - - - - - - - - - - 1 1 - 1 - - - - - - - - - - - - - - - - - - 1
4842 0 - - - - - - - - - - - - - - - - - - - 1 1 - - 1 - - - - - - - - - - - - - - - - - 1
4843 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 1 1 1 - - - - - - - - - - - - - 1
4844 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 1 1 0 - - - - - - - - - - - - - 1
4845 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 1 0 - - - - - - - - - - - - - - 1
4846 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 1 1 - - - - - - - - - - 1
4847 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 1 0 - - - - - - - - - - 1
4848 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 0 - 1 - - - - - - - - - 1
4849 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 0 - 0 1 - - - - - - - - 1
4850 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 0 - 0 0 1 - - - - - - - 1
4851 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - - - - - - - 1 1 - - - - - 1
4852 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - - - - - - - 1 0 - - - - - 1
4853 0 - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 1 1 - - 1
4854 0 - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 1 0 - - 1
4855 0 - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - 1 - 1
4856 0 - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - - 1 1
4857.mv _n9ae 3 GoUp GoDown Stop
4858.names _n9ad RTVerticalMotor$_n5b7$raw_n949 RTVerticalMotor _n9ae
48591 - - =RTVerticalMotor$_n5b7$raw_n949
48600 - - =RTVerticalMotor
4861.names _n5b7 _n776 _n7bb _n7be _n7c1 _n7c4 _n7fc _n802 _n805 _n8bd _n8c0 _n8c3 _n95c _n9bd
4862.def 0
4863 0 1 1 1 1 1 - - - - - - - 1
4864 0 1 1 - - - 1 1 1 - - - - 1
4865 0 1 - - - - - - - 1 1 1 - 1
4866 - - - - - - - - - - - - 1 1
4867.mv _n9be 2 Y N
4868.names _n9bd PieceGrabbedFromFB$_n95b$raw_n961 PieceGrabbedFromFB _n9be
48691 - - =PieceGrabbedFromFB$_n95b$raw_n961
48700 - - =PieceGrabbedFromFB
4871.names _n5b7 _n5bc _n5bf _n5cd _n5d1 _n5d6 _n5f8 _n5fb _n632 _n635 _n661 _n667 _n670 _n678 _n680 _n6d5 _n70f _n712 _n72a _n72e _n753 _n776 _n77b _n77e _n78c _n790 _n7a5 _n7bb _n7be _n7c1 _n7c4 _n7fc _n802 _n805 _n821 _n829 _n831 _n886 _n8bd _n8c0 _n8c3 _n8ef _n8f3 _n918 _n9cd
4872.def 0
4873 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4874 1 1 - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4875 1 1 - 1 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4876 1 1 - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4877 1 1 - - - - 1 0 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4878 1 - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4879 1 - - - - - - - 1 - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4880 1 - - - - - - - 1 - 1 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4881 1 - - - - - - - 1 - 1 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4882 1 - - - - - - - 1 - 1 0 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4883 1 - - - - - - - 1 - - - - - - 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4884 1 - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1
4885 1 - - - - - - - - - - - - - - - 1 - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - 1
4886 1 - - - - - - - - - - - - - - - 1 - 1 0 - - - - - - - - - - - - - - - - - - - - - - - - 1
4887 1 - - - - - - - - - - - - - - - 1 - - - 1 - - - - - - - - - - - - - - - - - - - - - - - 1
4888 0 - - - - - - - - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - - - - - - - - - 1
4889 0 - - - - - - - - - - - - - - - - - - - - 1 1 - 1 1 - - - - - - - - - - - - - - - - - - 1
4890 0 - - - - - - - - - - - - - - - - - - - - 1 1 - 1 0 - - - - - - - - - - - - - - - - - - 1
4891 0 - - - - - - - - - - - - - - - - - - - - 1 1 - - - 1 - - - - - - - - - - - - - - - - - 1
4892 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 1 1 1 - - - - - - - - - - - - - 1
4893 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 1 1 0 - - - - - - - - - - - - - 1
4894 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 1 0 - - - - - - - - - - - - - - 1
4895 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 1 1 - - - - - - - - - - 1
4896 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 1 0 - - - - - - - - - - 1
4897 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 0 - 1 - - - - - - - - - 1
4898 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 0 - 0 1 - - - - - - - - 1
4899 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 0 - 0 0 1 - - - - - - - 1
4900 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - - - - - - - 1 - - - - - - 1
4901 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 1 1 - - - 1
4902 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 1 0 - - - 1
4903 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - 1 1 - 1
4904 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - 1 0 - 1
4905 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - - - 1 1
4906.mv _n9ce 3 CWise Stop CCWise
4907.names _n9cd RTRotaryMotor$_n5b7$raw_n94a RTRotaryMotor _n9ce
49081 - - =RTRotaryMotor$_n5b7$raw_n94a
49090 - - =RTRotaryMotor
4910# non-blocking assignments
4911# latches
4912.r TableLoaded$raw_n5b6 TableLoaded
4913- =TableLoaded$raw_n5b6
4914.latch _n98e TableLoaded
4915.r RTOutReady$raw_n5b5 RTOutReady
4916- =RTOutReady$raw_n5b5
4917.latch _n99e RTOutReady
4918.r RTVerticalMotor$raw_n5b3 RTVerticalMotor
4919- =RTVerticalMotor$raw_n5b3
4920.latch _n9ae RTVerticalMotor
4921.r RTRotaryMotor$raw_n5b2 RTRotaryMotor
4922- =RTRotaryMotor$raw_n5b2
4923.latch _n9ce RTRotaryMotor
4924.r PieceGrabbedFromFB$raw_n5b4 PieceGrabbedFromFB
4925- =PieceGrabbedFromFB$raw_n5b4
4926.latch _n9be PieceGrabbedFromFB
4927# quasi-continuous assignment
4928.end
4929
4930
4931.model Press
4932# I/O ports
4933.inputs PressMotor
4934.outputs PressPosition
4935.mv PressMotor 3 GoUp GoDown Stop
4936.mv PressPosition 3 Top Mid Bot
4937# PressPosition  = 1
4938.mv PressPosition$raw_n9dd 3 Top Mid Bot
4939.names PressPosition$raw_n9dd
4940Mid
4941# non-blocking assignments for initial
4942.mv _n9df 3 GoUp GoDown Stop
4943.names _n9df
4944GoUp
4945# PressMotor  == 0
4946.names PressMotor _n9df _n9de
4947.def 0
4948- =PressMotor 1
4949.names _n9de _n9e0
4950- =_n9de
4951.mv _n9e3 3 Top Mid Bot
4952.names _n9e3
4953Mid
4954.names PressPosition _n9e3 _n9e2
4955.def 0
4956- =PressPosition 1
4957.names _n9e2  _n9e1
49581 1
49590 0
4960# PressPosition  = 0
4961.mv PressPosition$_n9e1_n9e4$true 3 Top Mid Bot
4962.names PressPosition$_n9e1_n9e4$true
4963Top
4964.mv _n9e7 3 Top Mid Bot
4965.names _n9e7
4966Bot
4967.names PressPosition _n9e7 _n9e6
4968.def 0
4969- =PressPosition 1
4970.names _n9e6  _n9e5
49711 1
49720 0
4973# PressPosition  = 1
4974.mv PressPosition$_n9e5_n9e8$true 3 Top Mid Bot
4975.names PressPosition$_n9e5_n9e8$true
4976Mid
4977# case (PressPosition )
4978.mv PressPosition$_n9e5$raw_n9eb 3 Top Mid Bot
4979.names PressPosition$_n9e5_n9e8$true PressPosition _n9e5 PressPosition$_n9e5$raw_n9eb
4980- - 0 =PressPosition
4981- - 1 =PressPosition$_n9e5_n9e8$true
4982.mv PressPosition$_n9e1$raw_n9ec 3 Top Mid Bot
4983.names PressPosition$_n9e1_n9e4$true PressPosition$_n9e5$raw_n9eb _n9e1 PressPosition$_n9e1$raw_n9ec
4984- - 0 =PressPosition$_n9e5$raw_n9eb
4985- - 1 =PressPosition$_n9e1_n9e4$true
4986# if/else (PressMotor  == 0)
4987.mv PressPosition$_n9de$raw_n9f2 3 Top Mid Bot
4988.names PressPosition$_n9e1$raw_n9ec PressPosition _n9de PressPosition$_n9de$raw_n9f2
4989- - 0 =PressPosition
4990- - 1 =PressPosition$_n9e1$raw_n9ec
4991.mv _n9f4 3 GoUp GoDown Stop
4992.names _n9f4
4993GoDown
4994# PressMotor  == 1
4995.names PressMotor _n9f4 _n9f3
4996.def 0
4997- =PressMotor 1
4998.names _n9f3 _n9f5
4999- =_n9f3
5000.mv _n9f8 3 Top Mid Bot
5001.names _n9f8
5002Top
5003.names PressPosition$_n9de$raw_n9f2 _n9f8 _n9f7
5004.def 0
5005- =PressPosition$_n9de$raw_n9f2 1
5006.names _n9f7  _n9f6
50071 1
50080 0
5009# PressPosition  = 1
5010.mv PressPosition$_n9f6_n9f9$true 3 Top Mid Bot
5011.names PressPosition$_n9f6_n9f9$true
5012Mid
5013.mv _n9fc 3 Top Mid Bot
5014.names _n9fc
5015Mid
5016.names PressPosition$_n9de$raw_n9f2 _n9fc _n9fb
5017.def 0
5018- =PressPosition$_n9de$raw_n9f2 1
5019.names _n9fb  _n9fa
50201 1
50210 0
5022# PressPosition  = 2
5023.mv PressPosition$_n9fa_n9fd$true 3 Top Mid Bot
5024.names PressPosition$_n9fa_n9fd$true
5025Bot
5026# case (PressPosition )
5027.mv PressPosition$_n9fa$raw_n9fe 3 Top Mid Bot
5028.names PressPosition$_n9fa_n9fd$true PressPosition$_n9de$raw_n9f2 _n9fa PressPosition$_n9fa$raw_n9fe
5029- - 0 =PressPosition$_n9de$raw_n9f2
5030- - 1 =PressPosition$_n9fa_n9fd$true
5031.mv PressPosition$_n9f6$raw_na02 3 Top Mid Bot
5032.names PressPosition$_n9f6_n9f9$true PressPosition$_n9fa$raw_n9fe _n9f6 PressPosition$_n9f6$raw_na02
5033- - 0 =PressPosition$_n9fa$raw_n9fe
5034- - 1 =PressPosition$_n9f6_n9f9$true
5035# if/else (PressMotor  == 1)
5036.mv PressPosition$_n9f3$raw_na06 3 Top Mid Bot
5037.names PressPosition$_n9f6$raw_na02 PressPosition$_n9de$raw_n9f2 _n9f3 PressPosition$_n9f3$raw_na06
5038- - 0 =PressPosition$_n9de$raw_n9f2
5039- - 1 =PressPosition$_n9f6$raw_na02
5040# conflict arbitrators
5041.names _n9e0 _n9e1 _n9e5 _n9f5 _n9f6 _n9fa _na0a
5042.def 0
5043 1 1 - - - - 1
5044 1 0 1 - - - 1
5045 - - - 1 1 - 1
5046 - - - 1 0 1 1
5047.mv _na0b 3 Top Mid Bot
5048.names _na0a PressPosition$_n9f3$raw_na06 PressPosition _na0b
50491 - - =PressPosition$_n9f3$raw_na06
50500 - - =PressPosition
5051# non-blocking assignments
5052# latches
5053.r PressPosition$raw_n9dd PressPosition
5054- =PressPosition$raw_n9dd
5055.latch _na0b PressPosition
5056# quasi-continuous assignment
5057.end
5058
5059
5060.model PressCNTR
5061# I/O ports
5062.outputs PressReadyToBeUnLoaded
5063.outputs PressReadyToBeLoaded
5064.inputs ArmLoadedPress
5065.inputs ArmUnLoadedPress
5066.outputs PressMotor
5067.inputs PressPosition
5068.mv PressReadyToBeUnLoaded 2 Y N
5069.mv PressReadyToBeLoaded 2 Y N
5070.mv ArmLoadedPress 2 Y N
5071.mv ArmUnLoadedPress 2 Y N
5072.mv PressLoaded 2 Y N
5073.mv PressMotor 3 GoUp GoDown Stop
5074.mv PressPosition 3 Top Mid Bot
5075# PressMotor  = 2
5076.mv PressMotor$raw_na0d 3 GoUp GoDown Stop
5077.names PressMotor$raw_na0d
5078Stop
5079# PressReadyToBeLoaded  = 1
5080.mv PressReadyToBeLoaded$raw_na0e 2 Y N
5081.names PressReadyToBeLoaded$raw_na0e
5082N
5083# PressReadyToBeUnLoaded  = 1
5084.mv PressReadyToBeUnLoaded$raw_na0f 2 Y N
5085.names PressReadyToBeUnLoaded$raw_na0f
5086N
5087# PressLoaded  = 1
5088.mv PressLoaded$raw_na10 2 Y N
5089.names PressLoaded$raw_na10
5090N
5091# non-blocking assignments for initial
5092.mv _na13 2 Y N
5093.names _na13
5094Y
5095.names PressLoaded _na13 _na12
5096.def 0
5097- =PressLoaded 1
5098.names _na12  _na11
50991 1
51000 0
5101.mv _na16 3 Top Mid Bot
5102.names _na16
5103Top
5104.names PressPosition _na16 _na15
5105.def 0
5106- =PressPosition 1
5107.names _na15  _na14
51081 1
51090 0
5110# PressMotor  = 1
5111.mv PressMotor$_na14_na17$true 3 GoUp GoDown Stop
5112.names PressMotor$_na14_na17$true
5113GoDown
5114.mv _na1a 3 Top Mid Bot
5115.names _na1a
5116Mid
5117.names PressPosition _na1a _na19
5118.def 0
5119- =PressPosition 1
5120.names _na19  _na18
51211 1
51220 0
5123.mv _na1c 3 GoUp GoDown Stop
5124.names _na1c
5125GoDown
5126# PressMotor  == 1
5127.names PressMotor _na1c _na1b
5128.def 0
5129- =PressMotor 1
5130.names _na1b _na1d
5131- =_na1b
5132# PressMotor  = 2
5133.mv PressMotor$_na1b_na1e$true 3 GoUp GoDown Stop
5134.names PressMotor$_na1b_na1e$true
5135Stop
5136# PressReadyToBeUnLoaded  = 0
5137.mv PressReadyToBeUnLoaded$_na1b_na1f$true 2 Y N
5138.names PressReadyToBeUnLoaded$_na1b_na1f$true
5139Y
5140# PressMotor  = 1
5141.mv PressMotor$_na1b_na20$false 3 GoUp GoDown Stop
5142.names PressMotor$_na1b_na20$false
5143GoDown
5144# if/else (PressMotor  == 1)
5145.mv PressMotor$_na1b$raw_na24 3 GoUp GoDown Stop
5146.names PressMotor$_na1b_na1e$true PressMotor$_na1b_na20$false _na1b PressMotor$_na1b$raw_na24
5147- - 0 =PressMotor$_na1b_na20$false
5148- - 1 =PressMotor$_na1b_na1e$true
5149.mv PressReadyToBeUnLoaded$_na1b$raw_na25 2 Y N
5150.names PressReadyToBeUnLoaded$_na1b_na1f$true PressReadyToBeUnLoaded _na1b PressReadyToBeUnLoaded$_na1b$raw_na25
5151- - 0 =PressReadyToBeUnLoaded
5152- - 1 =PressReadyToBeUnLoaded$_na1b_na1f$true
5153.mv _na2a 3 Top Mid Bot
5154.names _na2a
5155Bot
5156.names PressPosition _na2a _na29
5157.def 0
5158- =PressPosition 1
5159.names _na29  _na28
51601 1
51610 0
5162.mv _na2c 2 Y N
5163.names _na2c
5164Y
5165# ArmUnLoadedPress  == 0
5166.names ArmUnLoadedPress _na2c _na2b
5167.def 0
5168- =ArmUnLoadedPress 1
5169.mv _na2e 2 Y N
5170.names _na2e
5171Y
5172# PressReadyToBeUnLoaded  == 0
5173.names PressReadyToBeUnLoaded _na2e _na2d
5174.def 0
5175- =PressReadyToBeUnLoaded 1
5176# ArmUnLoadedPress  == 0 && PressReadyToBeUnLoaded  == 0
5177.names _na2b _na2d _na2f
5178.def 0
51791 1 1
5180.names _na2f _na30
5181- =_na2f
5182# PressMotor  = 0
5183.mv PressMotor$_na2f_na31$true 3 GoUp GoDown Stop
5184.names PressMotor$_na2f_na31$true
5185GoUp
5186# PressLoaded  = 1
5187.mv PressLoaded$_na2f_na32$true 2 Y N
5188.names PressLoaded$_na2f_na32$true
5189N
5190# PressReadyToBeUnLoaded  = 1
5191.mv PressReadyToBeUnLoaded$_na2f_na33$true 2 Y N
5192.names PressReadyToBeUnLoaded$_na2f_na33$true
5193N
5194# PressMotor  = 2
5195.mv PressMotor$_na2f_na34$false 3 GoUp GoDown Stop
5196.names PressMotor$_na2f_na34$false
5197Stop
5198# if/else (ArmUnLoadedPress  == 0 && PressReadyToBeUnLoaded  == 0)
5199.mv PressMotor$_na2f$raw_na3a 3 GoUp GoDown Stop
5200.names PressMotor$_na2f_na31$true PressMotor$_na2f_na34$false _na2f PressMotor$_na2f$raw_na3a
5201- - 0 =PressMotor$_na2f_na34$false
5202- - 1 =PressMotor$_na2f_na31$true
5203.mv PressReadyToBeUnLoaded$_na2f$raw_na3b 2 Y N
5204.names PressReadyToBeUnLoaded$_na2f_na33$true PressReadyToBeUnLoaded _na2f PressReadyToBeUnLoaded$_na2f$raw_na3b
5205- - 0 =PressReadyToBeUnLoaded
5206- - 1 =PressReadyToBeUnLoaded$_na2f_na33$true
5207.mv PressLoaded$_na2f$raw_na3c 2 Y N
5208.names PressLoaded$_na2f_na32$true PressLoaded _na2f PressLoaded$_na2f$raw_na3c
5209- - 0 =PressLoaded
5210- - 1 =PressLoaded$_na2f_na32$true
5211# case (PressPosition )
5212.mv PressReadyToBeUnLoaded$_na28$raw_na45 2 Y N
5213.names PressReadyToBeUnLoaded$_na2f$raw_na3b PressReadyToBeUnLoaded _na28 PressReadyToBeUnLoaded$_na28$raw_na45
5214- - 0 =PressReadyToBeUnLoaded
5215- - 1 =PressReadyToBeUnLoaded$_na2f$raw_na3b
5216.mv PressLoaded$_na28$raw_na46 2 Y N
5217.names PressLoaded$_na2f$raw_na3c PressLoaded _na28 PressLoaded$_na28$raw_na46
5218- - 0 =PressLoaded
5219- - 1 =PressLoaded$_na2f$raw_na3c
5220.mv PressMotor$_na28$raw_na47 3 GoUp GoDown Stop
5221.names PressMotor$_na2f$raw_na3a PressMotor _na28 PressMotor$_na28$raw_na47
5222- - 0 =PressMotor
5223- - 1 =PressMotor$_na2f$raw_na3a
5224.mv PressReadyToBeUnLoaded$_na18$raw_na48 2 Y N
5225.names PressReadyToBeUnLoaded$_na1b$raw_na25 PressReadyToBeUnLoaded$_na28$raw_na45 _na18 PressReadyToBeUnLoaded$_na18$raw_na48
5226- - 0 =PressReadyToBeUnLoaded$_na28$raw_na45
5227- - 1 =PressReadyToBeUnLoaded$_na1b$raw_na25
5228.mv PressMotor$_na18$raw_na49 3 GoUp GoDown Stop
5229.names PressMotor$_na1b$raw_na24 PressMotor$_na28$raw_na47 _na18 PressMotor$_na18$raw_na49
5230- - 0 =PressMotor$_na28$raw_na47
5231- - 1 =PressMotor$_na1b$raw_na24
5232.mv PressLoaded$_na18$raw_na4f 2 Y N
5233.names PressLoaded PressLoaded$_na28$raw_na46 _na18 PressLoaded$_na18$raw_na4f
5234- - 0 =PressLoaded$_na28$raw_na46
5235- - 1 =PressLoaded
5236.mv PressMotor$_na14$raw_na51 3 GoUp GoDown Stop
5237.names PressMotor$_na14_na17$true PressMotor$_na18$raw_na49 _na14 PressMotor$_na14$raw_na51
5238- - 0 =PressMotor$_na18$raw_na49
5239- - 1 =PressMotor$_na14_na17$true
5240.mv PressReadyToBeUnLoaded$_na14$raw_na54 2 Y N
5241.names PressReadyToBeUnLoaded PressReadyToBeUnLoaded$_na18$raw_na48 _na14 PressReadyToBeUnLoaded$_na14$raw_na54
5242- - 0 =PressReadyToBeUnLoaded$_na18$raw_na48
5243- - 1 =PressReadyToBeUnLoaded
5244.mv PressLoaded$_na14$raw_na55 2 Y N
5245.names PressLoaded PressLoaded$_na18$raw_na4f _na14 PressLoaded$_na14$raw_na55
5246- - 0 =PressLoaded$_na18$raw_na4f
5247- - 1 =PressLoaded
5248.mv _na59 2 Y N
5249.names _na59
5250N
5251.names PressLoaded _na59 _na58
5252.def 0
5253- =PressLoaded 1
5254.names _na58  _na57
52551 1
52560 0
5257.mv _na5c 3 Top Mid Bot
5258.names _na5c
5259Top
5260.names PressPosition _na5c _na5b
5261.def 0
5262- =PressPosition 1
5263.names _na5b  _na5a
52641 1
52650 0
5266.mv _na5e 3 GoUp GoDown Stop
5267.names _na5e
5268GoDown
5269# PressMotor  == 1
5270.names PressMotor _na5e _na5d
5271.def 0
5272- =PressMotor 1
5273.names _na5d _na5f
5274- =_na5d
5275# PressMotor  = 2
5276.mv PressMotor$_na5d_na60$true 3 GoUp GoDown Stop
5277.names PressMotor$_na5d_na60$true
5278Stop
5279# PressReadyToBeLoaded  = 0
5280.mv PressReadyToBeLoaded$_na5d_na61$true 2 Y N
5281.names PressReadyToBeLoaded$_na5d_na61$true
5282Y
5283# PressMotor  = 1
5284.mv PressMotor$_na5d_na62$false 3 GoUp GoDown Stop
5285.names PressMotor$_na5d_na62$false
5286GoDown
5287# if/else (PressMotor  == 1)
5288.mv PressMotor$_na5d$raw_na66 3 GoUp GoDown Stop
5289.names PressMotor$_na5d_na60$true PressMotor$_na5d_na62$false _na5d PressMotor$_na5d$raw_na66
5290- - 0 =PressMotor$_na5d_na62$false
5291- - 1 =PressMotor$_na5d_na60$true
5292.mv PressReadyToBeLoaded$_na5d$raw_na67 2 Y N
5293.names PressReadyToBeLoaded$_na5d_na61$true PressReadyToBeLoaded _na5d PressReadyToBeLoaded$_na5d$raw_na67
5294- - 0 =PressReadyToBeLoaded
5295- - 1 =PressReadyToBeLoaded$_na5d_na61$true
5296.mv _na6c 3 Top Mid Bot
5297.names _na6c
5298Mid
5299.names PressPosition _na6c _na6b
5300.def 0
5301- =PressPosition 1
5302.names _na6b  _na6a
53031 1
53040 0
5305.mv _na6e 2 Y N
5306.names _na6e
5307Y
5308# ArmLoadedPress  == 0
5309.names ArmLoadedPress _na6e _na6d
5310.def 0
5311- =ArmLoadedPress 1
5312.names _na6d _na6f
5313- =_na6d
5314# PressLoaded  = 0
5315.mv PressLoaded$_na6d_na70$true 2 Y N
5316.names PressLoaded$_na6d_na70$true
5317Y
5318# PressMotor  = 0
5319.mv PressMotor$_na6d_na71$true 3 GoUp GoDown Stop
5320.names PressMotor$_na6d_na71$true
5321GoUp
5322# PressMotor  = 2
5323.mv PressMotor$_na6d_na72$false 3 GoUp GoDown Stop
5324.names PressMotor$_na6d_na72$false
5325Stop
5326# PressReadyToBeLoaded  = 0
5327.mv PressReadyToBeLoaded$_na6d_na73$false 2 Y N
5328.names PressReadyToBeLoaded$_na6d_na73$false
5329Y
5330# if/else (ArmLoadedPress  == 0)
5331.mv PressMotor$_na6d$raw_na77 3 GoUp GoDown Stop
5332.names PressMotor$_na6d_na71$true PressMotor$_na6d_na72$false _na6d PressMotor$_na6d$raw_na77
5333- - 0 =PressMotor$_na6d_na72$false
5334- - 1 =PressMotor$_na6d_na71$true
5335.mv PressLoaded$_na6d$raw_na78 2 Y N
5336.names PressLoaded$_na6d_na70$true PressLoaded _na6d PressLoaded$_na6d$raw_na78
5337- - 0 =PressLoaded
5338- - 1 =PressLoaded$_na6d_na70$true
5339.mv PressReadyToBeLoaded$_na6d$raw_na7a 2 Y N
5340.names PressReadyToBeLoaded PressReadyToBeLoaded$_na6d_na73$false _na6d PressReadyToBeLoaded$_na6d$raw_na7a
5341- - 0 =PressReadyToBeLoaded$_na6d_na73$false
5342- - 1 =PressReadyToBeLoaded
5343# case (PressPosition )
5344.mv PressReadyToBeLoaded$_na6a$raw_na82 2 Y N
5345.names PressReadyToBeLoaded$_na6d$raw_na7a PressReadyToBeLoaded _na6a PressReadyToBeLoaded$_na6a$raw_na82
5346- - 0 =PressReadyToBeLoaded
5347- - 1 =PressReadyToBeLoaded$_na6d$raw_na7a
5348.mv PressLoaded$_na6a$raw_na83 2 Y N
5349.names PressLoaded$_na6d$raw_na78 PressLoaded _na6a PressLoaded$_na6a$raw_na83
5350- - 0 =PressLoaded
5351- - 1 =PressLoaded$_na6d$raw_na78
5352.mv PressMotor$_na6a$raw_na84 3 GoUp GoDown Stop
5353.names PressMotor$_na6d$raw_na77 PressMotor _na6a PressMotor$_na6a$raw_na84
5354- - 0 =PressMotor
5355- - 1 =PressMotor$_na6d$raw_na77
5356.mv PressReadyToBeLoaded$_na5a$raw_na85 2 Y N
5357.names PressReadyToBeLoaded$_na5d$raw_na67 PressReadyToBeLoaded$_na6a$raw_na82 _na5a PressReadyToBeLoaded$_na5a$raw_na85
5358- - 0 =PressReadyToBeLoaded$_na6a$raw_na82
5359- - 1 =PressReadyToBeLoaded$_na5d$raw_na67
5360.mv PressMotor$_na5a$raw_na86 3 GoUp GoDown Stop
5361.names PressMotor$_na5d$raw_na66 PressMotor$_na6a$raw_na84 _na5a PressMotor$_na5a$raw_na86
5362- - 0 =PressMotor$_na6a$raw_na84
5363- - 1 =PressMotor$_na5d$raw_na66
5364.mv PressLoaded$_na5a$raw_na8c 2 Y N
5365.names PressLoaded PressLoaded$_na6a$raw_na83 _na5a PressLoaded$_na5a$raw_na8c
5366- - 0 =PressLoaded$_na6a$raw_na83
5367- - 1 =PressLoaded
5368# case (PressLoaded )
5369.mv PressReadyToBeLoaded$_na57$raw_na94 2 Y N
5370.names PressReadyToBeLoaded$_na5a$raw_na85 PressReadyToBeLoaded _na57 PressReadyToBeLoaded$_na57$raw_na94
5371- - 0 =PressReadyToBeLoaded
5372- - 1 =PressReadyToBeLoaded$_na5a$raw_na85
5373.mv PressLoaded$_na57$raw_na95 2 Y N
5374.names PressLoaded$_na5a$raw_na8c PressLoaded _na57 PressLoaded$_na57$raw_na95
5375- - 0 =PressLoaded
5376- - 1 =PressLoaded$_na5a$raw_na8c
5377.mv PressMotor$_na57$raw_na96 3 GoUp GoDown Stop
5378.names PressMotor$_na5a$raw_na86 PressMotor _na57 PressMotor$_na57$raw_na96
5379- - 0 =PressMotor
5380- - 1 =PressMotor$_na5a$raw_na86
5381.mv PressLoaded$_na11$raw_na98 2 Y N
5382.names PressLoaded$_na14$raw_na55 PressLoaded$_na57$raw_na95 _na11 PressLoaded$_na11$raw_na98
5383- - 0 =PressLoaded$_na57$raw_na95
5384- - 1 =PressLoaded$_na14$raw_na55
5385.mv PressMotor$_na11$raw_na99 3 GoUp GoDown Stop
5386.names PressMotor$_na14$raw_na51 PressMotor$_na57$raw_na96 _na11 PressMotor$_na11$raw_na99
5387- - 0 =PressMotor$_na57$raw_na96
5388- - 1 =PressMotor$_na14$raw_na51
5389.mv PressReadyToBeUnLoaded$_na11$raw_na9d 2 Y N
5390.names PressReadyToBeUnLoaded$_na14$raw_na54 PressReadyToBeUnLoaded _na11 PressReadyToBeUnLoaded$_na11$raw_na9d
5391- - 0 =PressReadyToBeUnLoaded
5392- - 1 =PressReadyToBeUnLoaded$_na14$raw_na54
5393.mv PressReadyToBeLoaded$_na11$raw_naa0 2 Y N
5394.names PressReadyToBeLoaded PressReadyToBeLoaded$_na57$raw_na94 _na11 PressReadyToBeLoaded$_na11$raw_naa0
5395- - 0 =PressReadyToBeLoaded$_na57$raw_na94
5396- - 1 =PressReadyToBeLoaded
5397.mv _naa4 2 Y N
5398.names _naa4
5399Y
5400# ArmLoadedPress  == 0
5401.names ArmLoadedPress _naa4 _naa3
5402.def 0
5403- =ArmLoadedPress 1
5404.mv _naa6 2 Y N
5405.names _naa6
5406Y
5407# PressReadyToBeLoaded  == 0
5408.names PressReadyToBeLoaded$_na11$raw_naa0 _naa6 _naa5
5409.def 0
5410- =PressReadyToBeLoaded$_na11$raw_naa0 1
5411# ArmLoadedPress  == 0 && PressReadyToBeLoaded  == 0
5412.names _naa3 _naa5 _naa7
5413.def 0
54141 1 1
5415.names _naa7 _naa8
5416- =_naa7
5417# PressReadyToBeLoaded  = 1
5418.mv PressReadyToBeLoaded$_naa7_naa9$true 2 Y N
5419.names PressReadyToBeLoaded$_naa7_naa9$true
5420N
5421# if/else (ArmLoadedPress  == 0 && PressReadyToBeLoaded  == 0)
5422.mv PressReadyToBeLoaded$_naa7$raw_naaa 2 Y N
5423.names PressReadyToBeLoaded$_naa7_naa9$true PressReadyToBeLoaded$_na11$raw_naa0 _naa7 PressReadyToBeLoaded$_naa7$raw_naaa
5424- - 0 =PressReadyToBeLoaded$_na11$raw_naa0
5425- - 1 =PressReadyToBeLoaded$_naa7_naa9$true
5426.mv _nabb 2 Y N
5427.names _nabb
5428Y
5429# PressReadyToBeUnLoaded  == 0
5430.names PressReadyToBeUnLoaded$_na11$raw_na9d _nabb _naba
5431.def 0
5432- =PressReadyToBeUnLoaded$_na11$raw_na9d 1
5433.mv _nabd 2 Y N
5434.names _nabd
5435Y
5436# ArmUnLoadedPress  == 0
5437.names ArmUnLoadedPress _nabd _nabc
5438.def 0
5439- =ArmUnLoadedPress 1
5440# PressReadyToBeUnLoaded  == 0 && ArmUnLoadedPress  == 0
5441.names _naba _nabc _nabe
5442.def 0
54431 1 1
5444.names _nabe _nabf
5445- =_nabe
5446# PressReadyToBeUnLoaded  = 1
5447.mv PressReadyToBeUnLoaded$_nabe_nac0$true 2 Y N
5448.names PressReadyToBeUnLoaded$_nabe_nac0$true
5449N
5450# if/else (PressReadyToBeUnLoaded  == 0 && ArmUnLoadedPress  == 0)
5451.mv PressReadyToBeUnLoaded$_nabe$raw_nac2 2 Y N
5452.names PressReadyToBeUnLoaded$_nabe_nac0$true PressReadyToBeUnLoaded$_na11$raw_na9d _nabe PressReadyToBeUnLoaded$_nabe$raw_nac2
5453- - 0 =PressReadyToBeUnLoaded$_na11$raw_na9d
5454- - 1 =PressReadyToBeUnLoaded$_nabe_nac0$true
5455# conflict arbitrators
5456.names _na11 _na14 _na18 _na1d _na28 _na30 _nabf _nad1
5457.def 0
5458 1 0 1 1 - - - 1
5459 1 0 0 - 1 1 - 1
5460 - - - - - - 1 1
5461.mv _nad2 2 Y N
5462.names _nad1 PressReadyToBeUnLoaded$_nabe$raw_nac2 PressReadyToBeUnLoaded _nad2
54631 - - =PressReadyToBeUnLoaded$_nabe$raw_nac2
54640 - - =PressReadyToBeUnLoaded
5465.names _na11 _na57 _na5a _na5f _na6a _na6f _naa8 _nad6
5466.def 0
5467 0 1 1 1 - - - 1
5468 0 1 0 - 1 0 - 1
5469 - - - - - - 1 1
5470.mv _nad7 2 Y N
5471.names _nad6 PressReadyToBeLoaded$_naa7$raw_naaa PressReadyToBeLoaded _nad7
54721 - - =PressReadyToBeLoaded$_naa7$raw_naaa
54730 - - =PressReadyToBeLoaded
5474.names _na11 _na14 _na18 _na28 _na30 _na57 _na5a _na6a _na6f _nadb
5475.def 0
5476 1 0 0 1 1 - - - - 1
5477 0 - - - - 1 0 1 1 1
5478.mv _nadc 2 Y N
5479.names _nadb PressLoaded$_na11$raw_na98 PressLoaded _nadc
54801 - - =PressLoaded$_na11$raw_na98
54810 - - =PressLoaded
5482.names _na11 _na14 _na18 _na1d _na28 _na30 _na57 _na5a _na5f _na6a _na6f _nae0
5483.def 0
5484 1 1 - - - - - - - - - 1
5485 1 0 1 1 - - - - - - - 1
5486 1 0 1 0 - - - - - - - 1
5487 1 0 0 - 1 1 - - - - - 1
5488 1 0 0 - 1 0 - - - - - 1
5489 0 - - - - - 1 1 1 - - 1
5490 0 - - - - - 1 1 0 - - 1
5491 0 - - - - - 1 0 - 1 1 1
5492 0 - - - - - 1 0 - 1 0 1
5493.mv _nae1 3 GoUp GoDown Stop
5494.names _nae0 PressMotor$_na11$raw_na99 PressMotor _nae1
54951 - - =PressMotor$_na11$raw_na99
54960 - - =PressMotor
5497# non-blocking assignments
5498# latches
5499.r PressReadyToBeLoaded$raw_na0e PressReadyToBeLoaded
5500- =PressReadyToBeLoaded$raw_na0e
5501.latch _nad7 PressReadyToBeLoaded
5502.r PressReadyToBeUnLoaded$raw_na0f PressReadyToBeUnLoaded
5503- =PressReadyToBeUnLoaded$raw_na0f
5504.latch _nad2 PressReadyToBeUnLoaded
5505.r PressLoaded$raw_na10 PressLoaded
5506- =PressLoaded$raw_na10
5507.latch _nadc PressLoaded
5508.r PressMotor$raw_na0d PressMotor
5509- =PressMotor$raw_na0d
5510.latch _nae1 PressMotor
5511# quasi-continuous assignment
5512.end
5513
5514
5515.model RobotArm
5516# I/O ports
5517.outputs RAArmOverDB
5518.outputs RAArmOverLoadedPress
5519.outputs RAUnLoadArmExtended
5520.inputs RAExtendUnLoadArm
5521.inputs RARotaryMotor
5522.outputs RAArmOverUnLoadedPress
5523.outputs RALoadArmExtended
5524.outputs RAArmOverRT
5525.outputs RALoadArmRetracted
5526.inputs RAExtendLoadArm
5527.outputs RAUnLoadArmRetracted
5528.mv RAArmOverDB 2 Y N
5529.mv RAArmOverLoadedPress 2 Y N
5530.mv RAUnLoadArmExtended 2 Y N
5531.mv RAExtendUnLoadArm 3 Extend Retract Stop
5532.mv RARotaryMotor 3 CWise Stop CCWise
5533.mv RALoadArm 3 Extended Retracted Middle
5534.mv RAAnglePos 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5535.mv RAArmOverUnLoadedPress 2 Y N
5536.mv RALoadArmExtended 2 Y N
5537.mv RAUnLoadArm 3 Extended Retracted Middle
5538.mv RAArmOverRT 2 Y N
5539.mv RALoadArmRetracted 2 Y N
5540.mv RAExtendLoadArm 3 Extend Retract Stop
5541.mv RAUnLoadArmRetracted 2 Y N
5542# assign RALoadArmExtended  = (RALoadArm  == Extended ) ? 0 : 1
5543.mv RALoadArmExtended$raw_nae5 2 Y N
5544.mv _nae7 3 Extended Retracted Middle
5545.names _nae7
5546Extended
5547# RALoadArm  == 0
5548.names RALoadArm _nae7 _nae6
5549.def 0
5550- =RALoadArm 1
5551.mv _nae8 2 Y N
5552.names _nae8
5553Y
5554.mv _nae9 2 Y N
5555.names _nae9
5556N
5557# (RALoadArm  == 0) ? 0 : 1
5558.mv _naea 2 Y N
5559.names _nae8 _nae9 _nae6 _naea
5560- - 0 =_nae9
5561- - 1 =_nae8
5562.names _naea RALoadArmExtended$raw_nae5
5563- =_naea
5564# assign RALoadArmRetracted  = (RALoadArm  == Retracted ) ? 0 : 1
5565.mv RALoadArmRetracted$raw_naeb 2 Y N
5566.mv _naed 3 Extended Retracted Middle
5567.names _naed
5568Retracted
5569# RALoadArm  == 1
5570.names RALoadArm _naed _naec
5571.def 0
5572- =RALoadArm 1
5573.mv _naee 2 Y N
5574.names _naee
5575Y
5576.mv _naef 2 Y N
5577.names _naef
5578N
5579# (RALoadArm  == 1) ? 0 : 1
5580.mv _naf0 2 Y N
5581.names _naee _naef _naec _naf0
5582- - 0 =_naef
5583- - 1 =_naee
5584.names _naf0 RALoadArmRetracted$raw_naeb
5585- =_naf0
5586# assign RAUnLoadArmExtended  = (RAUnLoadArm  == Extended ) ? 0 : 1
5587.mv RAUnLoadArmExtended$raw_naf1 2 Y N
5588.mv _naf3 3 Extended Retracted Middle
5589.names _naf3
5590Extended
5591# RAUnLoadArm  == 0
5592.names RAUnLoadArm _naf3 _naf2
5593.def 0
5594- =RAUnLoadArm 1
5595.mv _naf4 2 Y N
5596.names _naf4
5597Y
5598.mv _naf5 2 Y N
5599.names _naf5
5600N
5601# (RAUnLoadArm  == 0) ? 0 : 1
5602.mv _naf6 2 Y N
5603.names _naf4 _naf5 _naf2 _naf6
5604- - 0 =_naf5
5605- - 1 =_naf4
5606.names _naf6 RAUnLoadArmExtended$raw_naf1
5607- =_naf6
5608# assign RAUnLoadArmRetracted  = (RAUnLoadArm  == Retracted ) ? 0 : 1
5609.mv RAUnLoadArmRetracted$raw_naf7 2 Y N
5610.mv _naf9 3 Extended Retracted Middle
5611.names _naf9
5612Retracted
5613# RAUnLoadArm  == 1
5614.names RAUnLoadArm _naf9 _naf8
5615.def 0
5616- =RAUnLoadArm 1
5617.mv _nafa 2 Y N
5618.names _nafa
5619Y
5620.mv _nafb 2 Y N
5621.names _nafb
5622N
5623# (RAUnLoadArm  == 1) ? 0 : 1
5624.mv _nafc 2 Y N
5625.names _nafa _nafb _naf8 _nafc
5626- - 0 =_nafb
5627- - 1 =_nafa
5628.names _nafc RAUnLoadArmRetracted$raw_naf7
5629- =_nafc
5630# assign RAArmOverRT  = (RAAnglePos  == OverRT ) ? 0 : 1
5631.mv RAArmOverRT$raw_nafd 2 Y N
5632.mv _naff 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5633.names _naff
5634OverRT
5635# RAAnglePos  == 0
5636.names RAAnglePos _naff _nafe
5637.def 0
5638- =RAAnglePos 1
5639.mv _nb00 2 Y N
5640.names _nb00
5641Y
5642.mv _nb01 2 Y N
5643.names _nb01
5644N
5645# (RAAnglePos  == 0) ? 0 : 1
5646.mv _nb02 2 Y N
5647.names _nb00 _nb01 _nafe _nb02
5648- - 0 =_nb01
5649- - 1 =_nb00
5650.names _nb02 RAArmOverRT$raw_nafd
5651- =_nb02
5652# assign RAArmOverUnLoadedPress  = (RAAnglePos  == OverUnLoadedPress ) ? 0 : 1
5653.mv RAArmOverUnLoadedPress$raw_nb03 2 Y N
5654.mv _nb05 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5655.names _nb05
5656OverUnLoadedPress
5657# RAAnglePos  == 3
5658.names RAAnglePos _nb05 _nb04
5659.def 0
5660- =RAAnglePos 1
5661.mv _nb06 2 Y N
5662.names _nb06
5663Y
5664.mv _nb07 2 Y N
5665.names _nb07
5666N
5667# (RAAnglePos  == 3) ? 0 : 1
5668.mv _nb08 2 Y N
5669.names _nb06 _nb07 _nb04 _nb08
5670- - 0 =_nb07
5671- - 1 =_nb06
5672.names _nb08 RAArmOverUnLoadedPress$raw_nb03
5673- =_nb08
5674# assign RAArmOverLoadedPress  = (RAAnglePos  == OverLoadedPress ) ? 0 : 1
5675.mv RAArmOverLoadedPress$raw_nb09 2 Y N
5676.mv _nb0b 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5677.names _nb0b
5678OverLoadedPress
5679# RAAnglePos  == 1
5680.names RAAnglePos _nb0b _nb0a
5681.def 0
5682- =RAAnglePos 1
5683.mv _nb0c 2 Y N
5684.names _nb0c
5685Y
5686.mv _nb0d 2 Y N
5687.names _nb0d
5688N
5689# (RAAnglePos  == 1) ? 0 : 1
5690.mv _nb0e 2 Y N
5691.names _nb0c _nb0d _nb0a _nb0e
5692- - 0 =_nb0d
5693- - 1 =_nb0c
5694.names _nb0e RAArmOverLoadedPress$raw_nb09
5695- =_nb0e
5696# assign RAArmOverDB  = (RAAnglePos  == OverDB ) ? 0 : 1
5697.mv RAArmOverDB$raw_nb0f 2 Y N
5698.mv _nb11 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5699.names _nb11
5700OverDB
5701# RAAnglePos  == 2
5702.names RAAnglePos _nb11 _nb10
5703.def 0
5704- =RAAnglePos 1
5705.mv _nb12 2 Y N
5706.names _nb12
5707Y
5708.mv _nb13 2 Y N
5709.names _nb13
5710N
5711# (RAAnglePos  == 2) ? 0 : 1
5712.mv _nb14 2 Y N
5713.names _nb12 _nb13 _nb10 _nb14
5714- - 0 =_nb13
5715- - 1 =_nb12
5716.names _nb14 RAArmOverDB$raw_nb0f
5717- =_nb14
5718# RALoadArm  = 1
5719.mv RALoadArm$raw_nb15 3 Extended Retracted Middle
5720.names RALoadArm$raw_nb15
5721Retracted
5722# RAUnLoadArm  = 1
5723.mv RAUnLoadArm$raw_nb16 3 Extended Retracted Middle
5724.names RAUnLoadArm$raw_nb16
5725Retracted
5726# RAAnglePos  = $NDset ( 0,1,2,3 )
5727.mv RAAnglePos$raw_nb17 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5728.mv RAAnglePos$raw_nb17$initial$_nb18 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5729.names RAAnglePos$raw_nb17$initial$_nb18
5730OverRT
5731OverLoadedPress
5732OverDB
5733OverUnLoadedPress
5734.names RAAnglePos$raw_nb17$initial$_nb18 RAAnglePos$raw_nb17
5735- =RAAnglePos$raw_nb17$initial$_nb18
5736# non-blocking assignments for initial
5737.mv _nb1a 3 Extend Retract Stop
5738.names _nb1a
5739Extend
5740# RAExtendLoadArm  == 0
5741.names RAExtendLoadArm _nb1a _nb19
5742.def 0
5743- =RAExtendLoadArm 1
5744.names _nb19 _nb1b
5745- =_nb19
5746.mv _nb1e 3 Extended Retracted Middle
5747.names _nb1e
5748Retracted
5749.names RALoadArm _nb1e _nb1d
5750.def 0
5751- =RALoadArm 1
5752.names _nb1d  _nb1c
57531 1
57540 0
5755# RALoadArm  = 2
5756.mv RALoadArm$_nb1c_nb1f$true 3 Extended Retracted Middle
5757.names RALoadArm$_nb1c_nb1f$true
5758Middle
5759.mv _nb22 3 Extended Retracted Middle
5760.names _nb22
5761Middle
5762.names RALoadArm _nb22 _nb21
5763.def 0
5764- =RALoadArm 1
5765.names _nb21  _nb20
57661 1
57670 0
5768# RALoadArm  = 0
5769.mv RALoadArm$_nb20_nb23$true 3 Extended Retracted Middle
5770.names RALoadArm$_nb20_nb23$true
5771Extended
5772# case (RALoadArm )
5773.mv RALoadArm$_nb20$raw_nb26 3 Extended Retracted Middle
5774.names RALoadArm$_nb20_nb23$true RALoadArm _nb20 RALoadArm$_nb20$raw_nb26
5775- - 0 =RALoadArm
5776- - 1 =RALoadArm$_nb20_nb23$true
5777.mv RALoadArm$_nb1c$raw_nb27 3 Extended Retracted Middle
5778.names RALoadArm$_nb1c_nb1f$true RALoadArm$_nb20$raw_nb26 _nb1c RALoadArm$_nb1c$raw_nb27
5779- - 0 =RALoadArm$_nb20$raw_nb26
5780- - 1 =RALoadArm$_nb1c_nb1f$true
5781# if/else (RAExtendLoadArm  == 0)
5782.mv RALoadArm$_nb19$raw_nb2d 3 Extended Retracted Middle
5783.names RALoadArm$_nb1c$raw_nb27 RALoadArm _nb19 RALoadArm$_nb19$raw_nb2d
5784- - 0 =RALoadArm
5785- - 1 =RALoadArm$_nb1c$raw_nb27
5786.mv _nb2f 3 Extend Retract Stop
5787.names _nb2f
5788Retract
5789# RAExtendLoadArm  == 1
5790.names RAExtendLoadArm _nb2f _nb2e
5791.def 0
5792- =RAExtendLoadArm 1
5793.names _nb2e _nb30
5794- =_nb2e
5795.mv _nb33 3 Extended Retracted Middle
5796.names _nb33
5797Extended
5798.names RALoadArm$_nb19$raw_nb2d _nb33 _nb32
5799.def 0
5800- =RALoadArm$_nb19$raw_nb2d 1
5801.names _nb32  _nb31
58021 1
58030 0
5804# RALoadArm  = 2
5805.mv RALoadArm$_nb31_nb34$true 3 Extended Retracted Middle
5806.names RALoadArm$_nb31_nb34$true
5807Middle
5808.mv _nb37 3 Extended Retracted Middle
5809.names _nb37
5810Middle
5811.names RALoadArm$_nb19$raw_nb2d _nb37 _nb36
5812.def 0
5813- =RALoadArm$_nb19$raw_nb2d 1
5814.names _nb36  _nb35
58151 1
58160 0
5817# RALoadArm  = 1
5818.mv RALoadArm$_nb35_nb38$true 3 Extended Retracted Middle
5819.names RALoadArm$_nb35_nb38$true
5820Retracted
5821# case (RALoadArm )
5822.mv RALoadArm$_nb35$raw_nb39 3 Extended Retracted Middle
5823.names RALoadArm$_nb35_nb38$true RALoadArm$_nb19$raw_nb2d _nb35 RALoadArm$_nb35$raw_nb39
5824- - 0 =RALoadArm$_nb19$raw_nb2d
5825- - 1 =RALoadArm$_nb35_nb38$true
5826.mv RALoadArm$_nb31$raw_nb3d 3 Extended Retracted Middle
5827.names RALoadArm$_nb31_nb34$true RALoadArm$_nb35$raw_nb39 _nb31 RALoadArm$_nb31$raw_nb3d
5828- - 0 =RALoadArm$_nb35$raw_nb39
5829- - 1 =RALoadArm$_nb31_nb34$true
5830# if/else (RAExtendLoadArm  == 1)
5831.mv RALoadArm$_nb2e$raw_nb41 3 Extended Retracted Middle
5832.names RALoadArm$_nb31$raw_nb3d RALoadArm$_nb19$raw_nb2d _nb2e RALoadArm$_nb2e$raw_nb41
5833- - 0 =RALoadArm$_nb19$raw_nb2d
5834- - 1 =RALoadArm$_nb31$raw_nb3d
5835.mv _nb46 3 Extend Retract Stop
5836.names _nb46
5837Extend
5838# RAExtendUnLoadArm  == 0
5839.names RAExtendUnLoadArm _nb46 _nb45
5840.def 0
5841- =RAExtendUnLoadArm 1
5842.names _nb45 _nb47
5843- =_nb45
5844.mv _nb4a 3 Extended Retracted Middle
5845.names _nb4a
5846Retracted
5847.names RAUnLoadArm _nb4a _nb49
5848.def 0
5849- =RAUnLoadArm 1
5850.names _nb49  _nb48
58511 1
58520 0
5853# RAUnLoadArm  = 2
5854.mv RAUnLoadArm$_nb48_nb4b$true 3 Extended Retracted Middle
5855.names RAUnLoadArm$_nb48_nb4b$true
5856Middle
5857.mv _nb4e 3 Extended Retracted Middle
5858.names _nb4e
5859Middle
5860.names RAUnLoadArm _nb4e _nb4d
5861.def 0
5862- =RAUnLoadArm 1
5863.names _nb4d  _nb4c
58641 1
58650 0
5866# RAUnLoadArm  = 0
5867.mv RAUnLoadArm$_nb4c_nb4f$true 3 Extended Retracted Middle
5868.names RAUnLoadArm$_nb4c_nb4f$true
5869Extended
5870# case (RAUnLoadArm )
5871.mv RAUnLoadArm$_nb4c$raw_nb55 3 Extended Retracted Middle
5872.names RAUnLoadArm$_nb4c_nb4f$true RAUnLoadArm _nb4c RAUnLoadArm$_nb4c$raw_nb55
5873- - 0 =RAUnLoadArm
5874- - 1 =RAUnLoadArm$_nb4c_nb4f$true
5875.mv RAUnLoadArm$_nb48$raw_nb58 3 Extended Retracted Middle
5876.names RAUnLoadArm$_nb48_nb4b$true RAUnLoadArm$_nb4c$raw_nb55 _nb48 RAUnLoadArm$_nb48$raw_nb58
5877- - 0 =RAUnLoadArm$_nb4c$raw_nb55
5878- - 1 =RAUnLoadArm$_nb48_nb4b$true
5879# if/else (RAExtendUnLoadArm  == 0)
5880.mv RAUnLoadArm$_nb45$raw_nb64 3 Extended Retracted Middle
5881.names RAUnLoadArm$_nb48$raw_nb58 RAUnLoadArm _nb45 RAUnLoadArm$_nb45$raw_nb64
5882- - 0 =RAUnLoadArm
5883- - 1 =RAUnLoadArm$_nb48$raw_nb58
5884.mv _nb67 3 Extend Retract Stop
5885.names _nb67
5886Retract
5887# RAExtendUnLoadArm  == 1
5888.names RAExtendUnLoadArm _nb67 _nb66
5889.def 0
5890- =RAExtendUnLoadArm 1
5891.names _nb66 _nb68
5892- =_nb66
5893.mv _nb6b 3 Extended Retracted Middle
5894.names _nb6b
5895Extended
5896.names RAUnLoadArm$_nb45$raw_nb64 _nb6b _nb6a
5897.def 0
5898- =RAUnLoadArm$_nb45$raw_nb64 1
5899.names _nb6a  _nb69
59001 1
59010 0
5902# RAUnLoadArm  = 2
5903.mv RAUnLoadArm$_nb69_nb6c$true 3 Extended Retracted Middle
5904.names RAUnLoadArm$_nb69_nb6c$true
5905Middle
5906.mv _nb6f 3 Extended Retracted Middle
5907.names _nb6f
5908Middle
5909.names RAUnLoadArm$_nb45$raw_nb64 _nb6f _nb6e
5910.def 0
5911- =RAUnLoadArm$_nb45$raw_nb64 1
5912.names _nb6e  _nb6d
59131 1
59140 0
5915# RAUnLoadArm  = 1
5916.mv RAUnLoadArm$_nb6d_nb70$true 3 Extended Retracted Middle
5917.names RAUnLoadArm$_nb6d_nb70$true
5918Retracted
5919# case (RAUnLoadArm )
5920.mv RAUnLoadArm$_nb6d$raw_nb72 3 Extended Retracted Middle
5921.names RAUnLoadArm$_nb6d_nb70$true RAUnLoadArm$_nb45$raw_nb64 _nb6d RAUnLoadArm$_nb6d$raw_nb72
5922- - 0 =RAUnLoadArm$_nb45$raw_nb64
5923- - 1 =RAUnLoadArm$_nb6d_nb70$true
5924.mv RAUnLoadArm$_nb69$raw_nb7a 3 Extended Retracted Middle
5925.names RAUnLoadArm$_nb69_nb6c$true RAUnLoadArm$_nb6d$raw_nb72 _nb69 RAUnLoadArm$_nb69$raw_nb7a
5926- - 0 =RAUnLoadArm$_nb6d$raw_nb72
5927- - 1 =RAUnLoadArm$_nb69_nb6c$true
5928# if/else (RAExtendUnLoadArm  == 1)
5929.mv RAUnLoadArm$_nb66$raw_nb82 3 Extended Retracted Middle
5930.names RAUnLoadArm$_nb69$raw_nb7a RAUnLoadArm$_nb45$raw_nb64 _nb66 RAUnLoadArm$_nb66$raw_nb82
5931- - 0 =RAUnLoadArm$_nb45$raw_nb64
5932- - 1 =RAUnLoadArm$_nb69$raw_nb7a
5933.mv _nb8a 3 CWise Stop CCWise
5934.names _nb8a
5935CCWise
5936# RARotaryMotor  == 2
5937.names RARotaryMotor _nb8a _nb89
5938.def 0
5939- =RARotaryMotor 1
5940.names _nb89 _nb8b
5941- =_nb89
5942.mv _nb8e 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5943.names _nb8e
5944OverRT
5945.names RAAnglePos _nb8e _nb8d
5946.def 0
5947- =RAAnglePos 1
5948.names _nb8d  _nb8c
59491 1
59500 0
5951# RAAnglePos  = 3
5952.mv RAAnglePos$_nb8c_nb8f$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5953.names RAAnglePos$_nb8c_nb8f$true
5954OverUnLoadedPress
5955.mv _nb92 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5956.names _nb92
5957OverUnLoadedPress
5958.names RAAnglePos _nb92 _nb91
5959.def 0
5960- =RAAnglePos 1
5961.names _nb91  _nb90
59621 1
59630 0
5964# RAAnglePos  = 2
5965.mv RAAnglePos$_nb90_nb93$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5966.names RAAnglePos$_nb90_nb93$true
5967OverDB
5968.mv _nb96 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5969.names _nb96
5970OverDB
5971.names RAAnglePos _nb96 _nb95
5972.def 0
5973- =RAAnglePos 1
5974.names _nb95  _nb94
59751 1
59760 0
5977# RAAnglePos  = 1
5978.mv RAAnglePos$_nb94_nb97$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5979.names RAAnglePos$_nb94_nb97$true
5980OverLoadedPress
5981# case (RAAnglePos )
5982.mv RAAnglePos$_nb94$raw_nb9f 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5983.names RAAnglePos$_nb94_nb97$true RAAnglePos _nb94 RAAnglePos$_nb94$raw_nb9f
5984- - 0 =RAAnglePos
5985- - 1 =RAAnglePos$_nb94_nb97$true
5986.mv RAAnglePos$_nb90$raw_nba4 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5987.names RAAnglePos$_nb90_nb93$true RAAnglePos$_nb94$raw_nb9f _nb90 RAAnglePos$_nb90$raw_nba4
5988- - 0 =RAAnglePos$_nb94$raw_nb9f
5989- - 1 =RAAnglePos$_nb90_nb93$true
5990.mv RAAnglePos$_nb8c$raw_nbb0 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5991.names RAAnglePos$_nb8c_nb8f$true RAAnglePos$_nb90$raw_nba4 _nb8c RAAnglePos$_nb8c$raw_nbb0
5992- - 0 =RAAnglePos$_nb90$raw_nba4
5993- - 1 =RAAnglePos$_nb8c_nb8f$true
5994# if/else (RARotaryMotor  == 2)
5995.mv RAAnglePos$_nb89$raw_nbc2 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
5996.names RAAnglePos$_nb8c$raw_nbb0 RAAnglePos _nb89 RAAnglePos$_nb89$raw_nbc2
5997- - 0 =RAAnglePos
5998- - 1 =RAAnglePos$_nb8c$raw_nbb0
5999.mv _nbc7 3 CWise Stop CCWise
6000.names _nbc7
6001CWise
6002# RARotaryMotor  == 0
6003.names RARotaryMotor _nbc7 _nbc6
6004.def 0
6005- =RARotaryMotor 1
6006.names _nbc6 _nbc8
6007- =_nbc6
6008.mv _nbcb 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6009.names _nbcb
6010OverLoadedPress
6011.names RAAnglePos$_nb89$raw_nbc2 _nbcb _nbca
6012.def 0
6013- =RAAnglePos$_nb89$raw_nbc2 1
6014.names _nbca  _nbc9
60151 1
60160 0
6017# RAAnglePos  = 2
6018.mv RAAnglePos$_nbc9_nbcc$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6019.names RAAnglePos$_nbc9_nbcc$true
6020OverDB
6021.mv _nbcf 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6022.names _nbcf
6023OverDB
6024.names RAAnglePos$_nb89$raw_nbc2 _nbcf _nbce
6025.def 0
6026- =RAAnglePos$_nb89$raw_nbc2 1
6027.names _nbce  _nbcd
60281 1
60290 0
6030# RAAnglePos  = 3
6031.mv RAAnglePos$_nbcd_nbd0$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6032.names RAAnglePos$_nbcd_nbd0$true
6033OverUnLoadedPress
6034.mv _nbd3 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6035.names _nbd3
6036OverUnLoadedPress
6037.names RAAnglePos$_nb89$raw_nbc2 _nbd3 _nbd2
6038.def 0
6039- =RAAnglePos$_nb89$raw_nbc2 1
6040.names _nbd2  _nbd1
60411 1
60420 0
6043# RAAnglePos  = 0
6044.mv RAAnglePos$_nbd1_nbd4$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6045.names RAAnglePos$_nbd1_nbd4$true
6046OverRT
6047# case (RAAnglePos )
6048.mv RAAnglePos$_nbd1$raw_nbd6 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6049.names RAAnglePos$_nbd1_nbd4$true RAAnglePos$_nb89$raw_nbc2 _nbd1 RAAnglePos$_nbd1$raw_nbd6
6050- - 0 =RAAnglePos$_nb89$raw_nbc2
6051- - 1 =RAAnglePos$_nbd1_nbd4$true
6052.mv RAAnglePos$_nbcd$raw_nbe2 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6053.names RAAnglePos$_nbcd_nbd0$true RAAnglePos$_nbd1$raw_nbd6 _nbcd RAAnglePos$_nbcd$raw_nbe2
6054- - 0 =RAAnglePos$_nbd1$raw_nbd6
6055- - 1 =RAAnglePos$_nbcd_nbd0$true
6056.mv RAAnglePos$_nbc9$raw_nbee 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6057.names RAAnglePos$_nbc9_nbcc$true RAAnglePos$_nbcd$raw_nbe2 _nbc9 RAAnglePos$_nbc9$raw_nbee
6058- - 0 =RAAnglePos$_nbcd$raw_nbe2
6059- - 1 =RAAnglePos$_nbc9_nbcc$true
6060# if/else (RARotaryMotor  == 0)
6061.mv RAAnglePos$_nbc6$raw_nbfa 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6062.names RAAnglePos$_nbc9$raw_nbee RAAnglePos$_nb89$raw_nbc2 _nbc6 RAAnglePos$_nbc6$raw_nbfa
6063- - 0 =RAAnglePos$_nb89$raw_nbc2
6064- - 1 =RAAnglePos$_nbc9$raw_nbee
6065# conflict arbitrators
6066.names RAArmOverDB$raw_nb0f  RAArmOverDB
6067- =RAArmOverDB$raw_nb0f
6068.names RAArmOverLoadedPress$raw_nb09  RAArmOverLoadedPress
6069- =RAArmOverLoadedPress$raw_nb09
6070.names RAUnLoadArmExtended$raw_naf1  RAUnLoadArmExtended
6071- =RAUnLoadArmExtended$raw_naf1
6072.names _nb1b _nb1c _nb20 _nb30 _nb31 _nb35 _nc05
6073.def 0
6074 1 1 - - - - 1
6075 1 0 1 - - - 1
6076 - - - 1 1 - 1
6077 - - - 1 0 1 1
6078.mv _nc06 3 Extended Retracted Middle
6079.names _nc05 RALoadArm$_nb2e$raw_nb41 RALoadArm _nc06
60801 - - =RALoadArm$_nb2e$raw_nb41
60810 - - =RALoadArm
6082.names _nb8b _nb8c _nb90 _nb94 _nbc8 _nbc9 _nbcd _nbd1 _nc1a
6083.def 0
6084 1 1 - - - - - - 1
6085 1 0 1 - - - - - 1
6086 1 0 0 1 - - - - 1
6087 - - - - 1 1 - - 1
6088 - - - - 1 0 1 - 1
6089 - - - - 1 0 0 1 1
6090.mv _nc1b 4 OverRT OverLoadedPress OverDB OverUnLoadedPress
6091.names _nc1a RAAnglePos$_nbc6$raw_nbfa RAAnglePos _nc1b
60921 - - =RAAnglePos$_nbc6$raw_nbfa
60930 - - =RAAnglePos
6094.names RAArmOverUnLoadedPress$raw_nb03  RAArmOverUnLoadedPress
6095- =RAArmOverUnLoadedPress$raw_nb03
6096.names RALoadArmExtended$raw_nae5  RALoadArmExtended
6097- =RALoadArmExtended$raw_nae5
6098.names _nb47 _nb48 _nb4c _nb68 _nb69 _nb6d _nc2f
6099.def 0
6100 1 1 - - - - 1
6101 1 0 1 - - - 1
6102 - - - 1 1 - 1
6103 - - - 1 0 1 1
6104.mv _nc30 3 Extended Retracted Middle
6105.names _nc2f RAUnLoadArm$_nb66$raw_nb82 RAUnLoadArm _nc30
61061 - - =RAUnLoadArm$_nb66$raw_nb82
61070 - - =RAUnLoadArm
6108.names RAArmOverRT$raw_nafd  RAArmOverRT
6109- =RAArmOverRT$raw_nafd
6110.names RALoadArmRetracted$raw_naeb  RALoadArmRetracted
6111- =RALoadArmRetracted$raw_naeb
6112.names RAUnLoadArmRetracted$raw_naf7  RAUnLoadArmRetracted
6113- =RAUnLoadArmRetracted$raw_naf7
6114# non-blocking assignments
6115# latches
6116.r RALoadArm$raw_nb15 RALoadArm
6117- =RALoadArm$raw_nb15
6118.latch _nc06 RALoadArm
6119.r RAAnglePos$raw_nb17 RAAnglePos
6120- =RAAnglePos$raw_nb17
6121.latch _nc1b RAAnglePos
6122.r RAUnLoadArm$raw_nb16 RAUnLoadArm
6123- =RAUnLoadArm$raw_nb16
6124.latch _nc30 RAUnLoadArm
6125# quasi-continuous assignment
6126.end
6127
6128
6129.model RobotArmCNTR
6130# I/O ports
6131.outputs ArmLoadedPress
6132.outputs RAExtendUnLoadArm
6133.inputs PressReadyToBeLoaded
6134.inputs PressReadyToBeUnLoaded
6135.inputs RAArmOverDB
6136.inputs RAArmOverLoadedPress
6137.inputs RAUnLoadArmExtended
6138.outputs ArmUnLoadedPress
6139.outputs RARotaryMotor
6140.inputs RTOutReady
6141.inputs RAArmOverUnLoadedPress
6142.inputs RALoadArmExtended
6143.outputs RAExtendLoadArm
6144.inputs RAArmOverRT
6145.inputs RALoadArmRetracted
6146.outputs PieceGrabbedFromRT
6147.outputs PieceOutArm
6148.inputs DBReady
6149.inputs RAUnLoadArmRetracted
6150.mv UnLoadArmLoaded 2 Y N
6151.mv ArmLoadedPress 2 Y N
6152.mv RAExtendUnLoadArm 3 Extend Retract Stop
6153.mv PressReadyToBeLoaded 2 Y N
6154.mv PressReadyToBeUnLoaded 2 Y N
6155.mv RAArmOverDB 2 Y N
6156.mv RAArmOverLoadedPress 2 Y N
6157.mv RAUnLoadArmExtended 2 Y N
6158.mv ArmUnLoadedPress 2 Y N
6159.mv RARotaryMotor 3 CWise Stop CCWise
6160.mv RTOutReady 2 Y N
6161.mv RAArmOverUnLoadedPress 2 Y N
6162.mv RALoadArmExtended 2 Y N
6163.mv RAExtendLoadArm 3 Extend Retract Stop
6164.mv RAArmOverRT 2 Y N
6165.mv RALoadArmRetracted 2 Y N
6166.mv PieceGrabbedFromRT 2 Y N
6167.mv PieceOutArm 2 Y N
6168.mv LoadArmLoaded 2 Y N
6169.mv DBReady 2 Y N
6170.mv RAUnLoadArmRetracted 2 Y N
6171# RAExtendLoadArm  = 2
6172.mv RAExtendLoadArm$raw_nc44 3 Extend Retract Stop
6173.names RAExtendLoadArm$raw_nc44
6174Stop
6175# RAExtendUnLoadArm  = 2
6176.mv RAExtendUnLoadArm$raw_nc45 3 Extend Retract Stop
6177.names RAExtendUnLoadArm$raw_nc45
6178Stop
6179# RARotaryMotor  = 1
6180.mv RARotaryMotor$raw_nc46 3 CWise Stop CCWise
6181.names RARotaryMotor$raw_nc46
6182Stop
6183# PieceOutArm  = 1
6184.mv PieceOutArm$raw_nc47 2 Y N
6185.names PieceOutArm$raw_nc47
6186N
6187# ArmUnLoadedPress  = 1
6188.mv ArmUnLoadedPress$raw_nc48 2 Y N
6189.names ArmUnLoadedPress$raw_nc48
6190N
6191# ArmLoadedPress  = 1
6192.mv ArmLoadedPress$raw_nc49 2 Y N
6193.names ArmLoadedPress$raw_nc49
6194N
6195# PieceGrabbedFromRT  = 1
6196.mv PieceGrabbedFromRT$raw_nc4a 2 Y N
6197.names PieceGrabbedFromRT$raw_nc4a
6198N
6199# LoadArmLoaded  = 1
6200.mv LoadArmLoaded$raw_nc4b 2 Y N
6201.names LoadArmLoaded$raw_nc4b
6202N
6203# UnLoadArmLoaded  = 1
6204.mv UnLoadArmLoaded$raw_nc4c 2 Y N
6205.names UnLoadArmLoaded$raw_nc4c
6206N
6207# non-blocking assignments for initial
6208.mv _nc4e 2 Y N
6209.names _nc4e
6210N
6211# LoadArmLoaded  == 1
6212.names LoadArmLoaded _nc4e _nc4d
6213.def 0
6214- =LoadArmLoaded 1
6215.mv _nc50 2 Y N
6216.names _nc50
6217N
6218# UnLoadArmLoaded  == 1
6219.names UnLoadArmLoaded _nc50 _nc4f
6220.def 0
6221- =UnLoadArmLoaded 1
6222# LoadArmLoaded  == 1 && UnLoadArmLoaded  == 1
6223.names _nc4d _nc4f _nc51
6224.def 0
62251 1 1
6226.names _nc51 _nc52
6227- =_nc51
6228.mv _nc54 2 Y N
6229.names _nc54
6230Y
6231# RAArmOverRT  == 0
6232.names RAArmOverRT _nc54 _nc53
6233.def 0
6234- =RAArmOverRT 1
6235.names _nc53 _nc55
6236- =_nc53
6237.mv _nc57 2 Y N
6238.names _nc57
6239Y
6240# RALoadArmRetracted  == 0
6241.names RALoadArmRetracted _nc57 _nc56
6242.def 0
6243- =RALoadArmRetracted 1
6244.mv _nc59 2 Y N
6245.names _nc59
6246Y
6247# RAUnLoadArmRetracted  == 0
6248.names RAUnLoadArmRetracted _nc59 _nc58
6249.def 0
6250- =RAUnLoadArmRetracted 1
6251# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0
6252.names _nc56 _nc58 _nc5a
6253.def 0
62541 1 1
6255.mv _nc5c 3 Extend Retract Stop
6256.names _nc5c
6257Stop
6258# RAExtendLoadArm  == 2
6259.names RAExtendLoadArm _nc5c _nc5b
6260.def 0
6261- =RAExtendLoadArm 1
6262# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2
6263.names _nc5a _nc5b _nc5d
6264.def 0
62651 1 1
6266.mv _nc5f 3 Extend Retract Stop
6267.names _nc5f
6268Stop
6269# RAExtendUnLoadArm  == 2
6270.names RAExtendUnLoadArm _nc5f _nc5e
6271.def 0
6272- =RAExtendUnLoadArm 1
6273# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2
6274.names _nc5d _nc5e _nc60
6275.def 0
62761 1 1
6277.mv _nc62 3 CWise Stop CCWise
6278.names _nc62
6279Stop
6280# RARotaryMotor  == 1
6281.names RARotaryMotor _nc62 _nc61
6282.def 0
6283- =RARotaryMotor 1
6284# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1
6285.names _nc60 _nc61 _nc63
6286.def 0
62871 1 1
6288.names _nc63 _nc64
6289- =_nc63
6290.mv _nc66 2 Y N
6291.names _nc66
6292Y
6293# RTOutReady  == 0
6294.names RTOutReady _nc66 _nc65
6295.def 0
6296- =RTOutReady 1
6297.names _nc65 _nc67
6298- =_nc65
6299# RAExtendLoadArm  = 0
6300.mv RAExtendLoadArm$_nc65_nc68$true 3 Extend Retract Stop
6301.names RAExtendLoadArm$_nc65_nc68$true
6302Extend
6303.mv _nc6a 2 Y N
6304.names _nc6a
6305Y
6306# PressReadyToBeUnLoaded  == 0
6307.names PressReadyToBeUnLoaded _nc6a _nc69
6308.def 0
6309- =PressReadyToBeUnLoaded 1
6310.names _nc69 _nc6b
6311- =_nc69
6312# RARotaryMotor  = 2
6313.mv RARotaryMotor$_nc69_nc6c$true 3 CWise Stop CCWise
6314.names RARotaryMotor$_nc69_nc6c$true
6315CCWise
6316# if/else (PressReadyToBeUnLoaded  == 0)
6317.mv RARotaryMotor$_nc69$raw_nc6f 3 CWise Stop CCWise
6318.names RARotaryMotor$_nc69_nc6c$true RARotaryMotor _nc69 RARotaryMotor$_nc69$raw_nc6f
6319- - 0 =RARotaryMotor
6320- - 1 =RARotaryMotor$_nc69_nc6c$true
6321# if/else (RTOutReady  == 0)
6322.mv RAExtendLoadArm$_nc65$raw_nc72 3 Extend Retract Stop
6323.names RAExtendLoadArm$_nc65_nc68$true RAExtendLoadArm _nc65 RAExtendLoadArm$_nc65$raw_nc72
6324- - 0 =RAExtendLoadArm
6325- - 1 =RAExtendLoadArm$_nc65_nc68$true
6326.mv RARotaryMotor$_nc65$raw_nc73 3 CWise Stop CCWise
6327.names RARotaryMotor RARotaryMotor$_nc69$raw_nc6f _nc65 RARotaryMotor$_nc65$raw_nc73
6328- - 0 =RARotaryMotor$_nc69$raw_nc6f
6329- - 1 =RARotaryMotor
6330.mv _nc75 2 Y N
6331.names _nc75
6332Y
6333# RALoadArmRetracted  == 0
6334.names RALoadArmRetracted _nc75 _nc74
6335.def 0
6336- =RALoadArmRetracted 1
6337.mv _nc77 2 Y N
6338.names _nc77
6339Y
6340# RAUnLoadArmRetracted  == 0
6341.names RAUnLoadArmRetracted _nc77 _nc76
6342.def 0
6343- =RAUnLoadArmRetracted 1
6344# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0
6345.names _nc74 _nc76 _nc78
6346.def 0
63471 1 1
6348.mv _nc7a 3 Extend Retract Stop
6349.names _nc7a
6350Stop
6351# RAExtendLoadArm  == 2
6352.names RAExtendLoadArm _nc7a _nc79
6353.def 0
6354- =RAExtendLoadArm 1
6355# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2
6356.names _nc78 _nc79 _nc7b
6357.def 0
63581 1 1
6359.mv _nc7d 3 Extend Retract Stop
6360.names _nc7d
6361Stop
6362# RAExtendUnLoadArm  == 2
6363.names RAExtendUnLoadArm _nc7d _nc7c
6364.def 0
6365- =RAExtendUnLoadArm 1
6366# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2
6367.names _nc7b _nc7c _nc7e
6368.def 0
63691 1 1
6370.mv _nc80 3 CWise Stop CCWise
6371.names _nc80
6372CCWise
6373# RARotaryMotor  == 2
6374.names RARotaryMotor _nc80 _nc7f
6375.def 0
6376- =RARotaryMotor 1
6377# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 2
6378.names _nc7e _nc7f _nc81
6379.def 0
63801 1 1
6381.names _nc81 _nc82
6382- =_nc81
6383.mv _nc84 2 Y N
6384.names _nc84
6385Y
6386# PressReadyToBeUnLoaded  == 0
6387.names PressReadyToBeUnLoaded _nc84 _nc83
6388.def 0
6389- =PressReadyToBeUnLoaded 1
6390.names _nc83 _nc85
6391- =_nc83
6392# RARotaryMotor  = 1
6393.mv RARotaryMotor$_nc83_nc86$true 3 CWise Stop CCWise
6394.names RARotaryMotor$_nc83_nc86$true
6395Stop
6396# RAExtendUnLoadArm  = 0
6397.mv RAExtendUnLoadArm$_nc83_nc87$true 3 Extend Retract Stop
6398.names RAExtendUnLoadArm$_nc83_nc87$true
6399Extend
6400# if/else (PressReadyToBeUnLoaded  == 0)
6401.mv RAExtendUnLoadArm$_nc83$raw_nc8c 3 Extend Retract Stop
6402.names RAExtendUnLoadArm$_nc83_nc87$true RAExtendUnLoadArm _nc83 RAExtendUnLoadArm$_nc83$raw_nc8c
6403- - 0 =RAExtendUnLoadArm
6404- - 1 =RAExtendUnLoadArm$_nc83_nc87$true
6405.mv RARotaryMotor$_nc83$raw_nc8d 3 CWise Stop CCWise
6406.names RARotaryMotor$_nc83_nc86$true RARotaryMotor _nc83 RARotaryMotor$_nc83$raw_nc8d
6407- - 0 =RARotaryMotor
6408- - 1 =RARotaryMotor$_nc83_nc86$true
6409.mv _nc8f 2 Y N
6410.names _nc8f
6411N
6412# RALoadArmRetracted  == 1
6413.names RALoadArmRetracted _nc8f _nc8e
6414.def 0
6415- =RALoadArmRetracted 1
6416.mv _nc91 2 Y N
6417.names _nc91
6418N
6419# RALoadArmExtended  == 1
6420.names RALoadArmExtended _nc91 _nc90
6421.def 0
6422- =RALoadArmExtended 1
6423# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1
6424.names _nc8e _nc90 _nc92
6425.def 0
64261 1 1
6427.mv _nc94 2 Y N
6428.names _nc94
6429Y
6430# RAUnLoadArmRetracted  == 0
6431.names RAUnLoadArmRetracted _nc94 _nc93
6432.def 0
6433- =RAUnLoadArmRetracted 1
6434# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0
6435.names _nc92 _nc93 _nc95
6436.def 0
64371 1 1
6438.mv _nc97 3 Extend Retract Stop
6439.names _nc97
6440Extend
6441# RAExtendLoadArm  == 0
6442.names RAExtendLoadArm _nc97 _nc96
6443.def 0
6444- =RAExtendLoadArm 1
6445# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 0
6446.names _nc95 _nc96 _nc98
6447.def 0
64481 1 1
6449.mv _nc9a 3 Extend Retract Stop
6450.names _nc9a
6451Stop
6452# RAExtendUnLoadArm  == 2
6453.names RAExtendUnLoadArm _nc9a _nc99
6454.def 0
6455- =RAExtendUnLoadArm 1
6456# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 0 && RAExtendUnLoadArm  == 2
6457.names _nc98 _nc99 _nc9b
6458.def 0
64591 1 1
6460.mv _nc9d 3 CWise Stop CCWise
6461.names _nc9d
6462Stop
6463# RARotaryMotor  == 1
6464.names RARotaryMotor _nc9d _nc9c
6465.def 0
6466- =RARotaryMotor 1
6467# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 0 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1
6468.names _nc9b _nc9c _nc9e
6469.def 0
64701 1 1
6471.names _nc9e _nc9f
6472- =_nc9e
6473# RAExtendLoadArm  = 1
6474.mv RAExtendLoadArm$_nc9e_nca0$true 3 Extend Retract Stop
6475.names RAExtendLoadArm$_nc9e_nca0$true
6476Retract
6477# LoadArmLoaded  = 0
6478.mv LoadArmLoaded$_nc9e_nca1$true 2 Y N
6479.names LoadArmLoaded$_nc9e_nca1$true
6480Y
6481# PieceGrabbedFromRT  = 0
6482.mv PieceGrabbedFromRT$_nc9e_nca2$true 2 Y N
6483.names PieceGrabbedFromRT$_nc9e_nca2$true
6484Y
6485# if/else (RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 0 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1)
6486.mv RAExtendLoadArm$_nc9e$raw_nca9 3 Extend Retract Stop
6487.names RAExtendLoadArm$_nc9e_nca0$true RAExtendLoadArm _nc9e RAExtendLoadArm$_nc9e$raw_nca9
6488- - 0 =RAExtendLoadArm
6489- - 1 =RAExtendLoadArm$_nc9e_nca0$true
6490.mv PieceGrabbedFromRT$_nc9e$raw_ncaa 2 Y N
6491.names PieceGrabbedFromRT$_nc9e_nca2$true PieceGrabbedFromRT _nc9e PieceGrabbedFromRT$_nc9e$raw_ncaa
6492- - 0 =PieceGrabbedFromRT
6493- - 1 =PieceGrabbedFromRT$_nc9e_nca2$true
6494.mv LoadArmLoaded$_nc9e$raw_ncab 2 Y N
6495.names LoadArmLoaded$_nc9e_nca1$true LoadArmLoaded _nc9e LoadArmLoaded$_nc9e$raw_ncab
6496- - 0 =LoadArmLoaded
6497- - 1 =LoadArmLoaded$_nc9e_nca1$true
6498# if/else (RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 2)
6499.mv RAExtendUnLoadArm$_nc81$raw_ncb0 3 Extend Retract Stop
6500.names RAExtendUnLoadArm$_nc83$raw_nc8c RAExtendUnLoadArm _nc81 RAExtendUnLoadArm$_nc81$raw_ncb0
6501- - 0 =RAExtendUnLoadArm
6502- - 1 =RAExtendUnLoadArm$_nc83$raw_nc8c
6503.mv RARotaryMotor$_nc81$raw_ncb1 3 CWise Stop CCWise
6504.names RARotaryMotor$_nc83$raw_nc8d RARotaryMotor _nc81 RARotaryMotor$_nc81$raw_ncb1
6505- - 0 =RARotaryMotor
6506- - 1 =RARotaryMotor$_nc83$raw_nc8d
6507.mv RAExtendLoadArm$_nc81$raw_ncb2 3 Extend Retract Stop
6508.names RAExtendLoadArm RAExtendLoadArm$_nc9e$raw_nca9 _nc81 RAExtendLoadArm$_nc81$raw_ncb2
6509- - 0 =RAExtendLoadArm$_nc9e$raw_nca9
6510- - 1 =RAExtendLoadArm
6511.mv PieceGrabbedFromRT$_nc81$raw_ncb3 2 Y N
6512.names PieceGrabbedFromRT PieceGrabbedFromRT$_nc9e$raw_ncaa _nc81 PieceGrabbedFromRT$_nc81$raw_ncb3
6513- - 0 =PieceGrabbedFromRT$_nc9e$raw_ncaa
6514- - 1 =PieceGrabbedFromRT
6515.mv LoadArmLoaded$_nc81$raw_ncb4 2 Y N
6516.names LoadArmLoaded LoadArmLoaded$_nc9e$raw_ncab _nc81 LoadArmLoaded$_nc81$raw_ncb4
6517- - 0 =LoadArmLoaded$_nc9e$raw_ncab
6518- - 1 =LoadArmLoaded
6519# if/else (RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1)
6520.mv RARotaryMotor$_nc63$raw_ncb7 3 CWise Stop CCWise
6521.names RARotaryMotor$_nc65$raw_nc73 RARotaryMotor$_nc81$raw_ncb1 _nc63 RARotaryMotor$_nc63$raw_ncb7
6522- - 0 =RARotaryMotor$_nc81$raw_ncb1
6523- - 1 =RARotaryMotor$_nc65$raw_nc73
6524.mv RAExtendLoadArm$_nc63$raw_ncb8 3 Extend Retract Stop
6525.names RAExtendLoadArm$_nc65$raw_nc72 RAExtendLoadArm$_nc81$raw_ncb2 _nc63 RAExtendLoadArm$_nc63$raw_ncb8
6526- - 0 =RAExtendLoadArm$_nc81$raw_ncb2
6527- - 1 =RAExtendLoadArm$_nc65$raw_nc72
6528.mv RAExtendUnLoadArm$_nc63$raw_ncbb 3 Extend Retract Stop
6529.names RAExtendUnLoadArm RAExtendUnLoadArm$_nc81$raw_ncb0 _nc63 RAExtendUnLoadArm$_nc63$raw_ncbb
6530- - 0 =RAExtendUnLoadArm$_nc81$raw_ncb0
6531- - 1 =RAExtendUnLoadArm
6532.mv PieceGrabbedFromRT$_nc63$raw_ncbe 2 Y N
6533.names PieceGrabbedFromRT PieceGrabbedFromRT$_nc81$raw_ncb3 _nc63 PieceGrabbedFromRT$_nc63$raw_ncbe
6534- - 0 =PieceGrabbedFromRT$_nc81$raw_ncb3
6535- - 1 =PieceGrabbedFromRT
6536.mv LoadArmLoaded$_nc63$raw_ncbf 2 Y N
6537.names LoadArmLoaded LoadArmLoaded$_nc81$raw_ncb4 _nc63 LoadArmLoaded$_nc63$raw_ncbf
6538- - 0 =LoadArmLoaded$_nc81$raw_ncb4
6539- - 1 =LoadArmLoaded
6540# if/else (RAArmOverRT  == 0)
6541.mv RAExtendUnLoadArm$_nc53$raw_ncca 3 Extend Retract Stop
6542.names RAExtendUnLoadArm$_nc63$raw_ncbb RAExtendUnLoadArm _nc53 RAExtendUnLoadArm$_nc53$raw_ncca
6543- - 0 =RAExtendUnLoadArm
6544- - 1 =RAExtendUnLoadArm$_nc63$raw_ncbb
6545.mv RARotaryMotor$_nc53$raw_nccb 3 CWise Stop CCWise
6546.names RARotaryMotor$_nc63$raw_ncb7 RARotaryMotor _nc53 RARotaryMotor$_nc53$raw_nccb
6547- - 0 =RARotaryMotor
6548- - 1 =RARotaryMotor$_nc63$raw_ncb7
6549.mv RAExtendLoadArm$_nc53$raw_nccc 3 Extend Retract Stop
6550.names RAExtendLoadArm$_nc63$raw_ncb8 RAExtendLoadArm _nc53 RAExtendLoadArm$_nc53$raw_nccc
6551- - 0 =RAExtendLoadArm
6552- - 1 =RAExtendLoadArm$_nc63$raw_ncb8
6553.mv PieceGrabbedFromRT$_nc53$raw_nccd 2 Y N
6554.names PieceGrabbedFromRT$_nc63$raw_ncbe PieceGrabbedFromRT _nc53 PieceGrabbedFromRT$_nc53$raw_nccd
6555- - 0 =PieceGrabbedFromRT
6556- - 1 =PieceGrabbedFromRT$_nc63$raw_ncbe
6557.mv LoadArmLoaded$_nc53$raw_ncce 2 Y N
6558.names LoadArmLoaded$_nc63$raw_ncbf LoadArmLoaded _nc53 LoadArmLoaded$_nc53$raw_ncce
6559- - 0 =LoadArmLoaded
6560- - 1 =LoadArmLoaded$_nc63$raw_ncbf
6561.mv _ncd0 2 Y N
6562.names _ncd0
6563Y
6564# RAArmOverLoadedPress  == 0
6565.names RAArmOverLoadedPress _ncd0 _nccf
6566.def 0
6567- =RAArmOverLoadedPress 1
6568.names _nccf _ncd1
6569- =_nccf
6570.mv _ncd3 2 Y N
6571.names _ncd3
6572Y
6573# RALoadArmRetracted  == 0
6574.names RALoadArmRetracted _ncd3 _ncd2
6575.def 0
6576- =RALoadArmRetracted 1
6577.mv _ncd5 2 Y N
6578.names _ncd5
6579Y
6580# RAUnLoadArmRetracted  == 0
6581.names RAUnLoadArmRetracted _ncd5 _ncd4
6582.def 0
6583- =RAUnLoadArmRetracted 1
6584# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0
6585.names _ncd2 _ncd4 _ncd6
6586.def 0
65871 1 1
6588.mv _ncd8 3 Extend Retract Stop
6589.names _ncd8
6590Stop
6591# RAExtendLoadArm  == 2
6592.names RAExtendLoadArm$_nc53$raw_nccc _ncd8 _ncd7
6593.def 0
6594- =RAExtendLoadArm$_nc53$raw_nccc 1
6595# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2
6596.names _ncd6 _ncd7 _ncd9
6597.def 0
65981 1 1
6599.mv _ncdb 3 Extend Retract Stop
6600.names _ncdb
6601Stop
6602# RAExtendUnLoadArm  == 2
6603.names RAExtendUnLoadArm$_nc53$raw_ncca _ncdb _ncda
6604.def 0
6605- =RAExtendUnLoadArm$_nc53$raw_ncca 1
6606# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2
6607.names _ncd9 _ncda _ncdc
6608.def 0
66091 1 1
6610.mv _ncde 3 CWise Stop CCWise
6611.names _ncde
6612Stop
6613# RARotaryMotor  == 1
6614.names RARotaryMotor$_nc53$raw_nccb _ncde _ncdd
6615.def 0
6616- =RARotaryMotor$_nc53$raw_nccb 1
6617# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1
6618.names _ncdc _ncdd _ncdf
6619.def 0
66201 1 1
6621.names _ncdf _nce0
6622- =_ncdf
6623# RARotaryMotor  = 0
6624.mv RARotaryMotor$_ncdf_nce1$true 3 CWise Stop CCWise
6625.names RARotaryMotor$_ncdf_nce1$true
6626CWise
6627# if/else (RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1)
6628.mv RARotaryMotor$_ncdf$raw_nce3 3 CWise Stop CCWise
6629.names RARotaryMotor$_ncdf_nce1$true RARotaryMotor$_nc53$raw_nccb _ncdf RARotaryMotor$_ncdf$raw_nce3
6630- - 0 =RARotaryMotor$_nc53$raw_nccb
6631- - 1 =RARotaryMotor$_ncdf_nce1$true
6632.mv _ncf7 2 Y N
6633.names _ncf7
6634N
6635# RALoadArmRetracted  == 1
6636.names RALoadArmRetracted _ncf7 _ncf6
6637.def 0
6638- =RALoadArmRetracted 1
6639.mv _ncf9 2 Y N
6640.names _ncf9
6641N
6642# RALoadArmExtended  == 1
6643.names RALoadArmExtended _ncf9 _ncf8
6644.def 0
6645- =RALoadArmExtended 1
6646# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1
6647.names _ncf6 _ncf8 _ncfa
6648.def 0
66491 1 1
6650.mv _ncfc 2 Y N
6651.names _ncfc
6652Y
6653# RAUnLoadArmRetracted  == 0
6654.names RAUnLoadArmRetracted _ncfc _ncfb
6655.def 0
6656- =RAUnLoadArmRetracted 1
6657# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0
6658.names _ncfa _ncfb _ncfd
6659.def 0
66601 1 1
6661.mv _ncff 3 Extend Retract Stop
6662.names _ncff
6663Retract
6664# RAExtendLoadArm  == 1
6665.names RAExtendLoadArm$_nc53$raw_nccc _ncff _ncfe
6666.def 0
6667- =RAExtendLoadArm$_nc53$raw_nccc 1
6668# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 1
6669.names _ncfd _ncfe _nd00
6670.def 0
66711 1 1
6672.mv _nd02 3 Extend Retract Stop
6673.names _nd02
6674Stop
6675# RAExtendUnLoadArm  == 2
6676.names RAExtendUnLoadArm$_nc53$raw_ncca _nd02 _nd01
6677.def 0
6678- =RAExtendUnLoadArm$_nc53$raw_ncca 1
6679# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 1 && RAExtendUnLoadArm  == 2
6680.names _nd00 _nd01 _nd03
6681.def 0
66821 1 1
6683.mv _nd05 3 CWise Stop CCWise
6684.names _nd05
6685Stop
6686# RARotaryMotor  == 1
6687.names RARotaryMotor$_ncdf$raw_nce3 _nd05 _nd04
6688.def 0
6689- =RARotaryMotor$_ncdf$raw_nce3 1
6690# RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 1 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1
6691.names _nd03 _nd04 _nd06
6692.def 0
66931 1 1
6694.names _nd06 _nd07
6695- =_nd06
6696# RAExtendLoadArm  = 2
6697.mv RAExtendLoadArm$_nd06_nd08$true 3 Extend Retract Stop
6698.names RAExtendLoadArm$_nd06_nd08$true
6699Stop
6700# RARotaryMotor  = 0
6701.mv RARotaryMotor$_nd06_nd09$true 3 CWise Stop CCWise
6702.names RARotaryMotor$_nd06_nd09$true
6703CWise
6704# if/else (RALoadArmRetracted  == 1 && RALoadArmExtended  == 1 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 1 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1)
6705.mv RARotaryMotor$_nd06$raw_nd0b 3 CWise Stop CCWise
6706.names RARotaryMotor$_nd06_nd09$true RARotaryMotor$_ncdf$raw_nce3 _nd06 RARotaryMotor$_nd06$raw_nd0b
6707- - 0 =RARotaryMotor$_ncdf$raw_nce3
6708- - 1 =RARotaryMotor$_nd06_nd09$true
6709.mv RAExtendLoadArm$_nd06$raw_nd0c 3 Extend Retract Stop
6710.names RAExtendLoadArm$_nd06_nd08$true RAExtendLoadArm$_nc53$raw_nccc _nd06 RAExtendLoadArm$_nd06$raw_nd0c
6711- - 0 =RAExtendLoadArm$_nc53$raw_nccc
6712- - 1 =RAExtendLoadArm$_nd06_nd08$true
6713# if/else (RAArmOverLoadedPress  == 0)
6714.mv RARotaryMotor$_nccf$raw_nd1f 3 CWise Stop CCWise
6715.names RARotaryMotor$_nd06$raw_nd0b RARotaryMotor$_nc53$raw_nccb _nccf RARotaryMotor$_nccf$raw_nd1f
6716- - 0 =RARotaryMotor$_nc53$raw_nccb
6717- - 1 =RARotaryMotor$_nd06$raw_nd0b
6718.mv RAExtendLoadArm$_nccf$raw_nd20 3 Extend Retract Stop
6719.names RAExtendLoadArm$_nd06$raw_nd0c RAExtendLoadArm$_nc53$raw_nccc _nccf RAExtendLoadArm$_nccf$raw_nd20
6720- - 0 =RAExtendLoadArm$_nc53$raw_nccc
6721- - 1 =RAExtendLoadArm$_nd06$raw_nd0c
6722.mv _nd33 2 Y N
6723.names _nd33
6724Y
6725# RAArmOverUnLoadedPress  == 0
6726.names RAArmOverUnLoadedPress _nd33 _nd32
6727.def 0
6728- =RAArmOverUnLoadedPress 1
6729.names _nd32 _nd34
6730- =_nd32
6731.mv _nd36 2 Y N
6732.names _nd36
6733Y
6734# RALoadArmRetracted  == 0
6735.names RALoadArmRetracted _nd36 _nd35
6736.def 0
6737- =RALoadArmRetracted 1
6738.mv _nd38 2 Y N
6739.names _nd38
6740Y
6741# RAUnLoadArmRetracted  == 0
6742.names RAUnLoadArmRetracted _nd38 _nd37
6743.def 0
6744- =RAUnLoadArmRetracted 1
6745# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0
6746.names _nd35 _nd37 _nd39
6747.def 0
67481 1 1
6749.mv _nd3b 3 Extend Retract Stop
6750.names _nd3b
6751Stop
6752# RAExtendLoadArm  == 2
6753.names RAExtendLoadArm$_nccf$raw_nd20 _nd3b _nd3a
6754.def 0
6755- =RAExtendLoadArm$_nccf$raw_nd20 1
6756# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2
6757.names _nd39 _nd3a _nd3c
6758.def 0
67591 1 1
6760.mv _nd3e 3 Extend Retract Stop
6761.names _nd3e
6762Stop
6763# RAExtendUnLoadArm  == 2
6764.names RAExtendUnLoadArm$_nc53$raw_ncca _nd3e _nd3d
6765.def 0
6766- =RAExtendUnLoadArm$_nc53$raw_ncca 1
6767# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2
6768.names _nd3c _nd3d _nd3f
6769.def 0
67701 1 1
6771.mv _nd41 3 CWise Stop CCWise
6772.names _nd41
6773Stop
6774# RARotaryMotor  == 1
6775.names RARotaryMotor$_nccf$raw_nd1f _nd41 _nd40
6776.def 0
6777- =RARotaryMotor$_nccf$raw_nd1f 1
6778# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1
6779.names _nd3f _nd40 _nd42
6780.def 0
67811 1 1
6782.names _nd42 _nd43
6783- =_nd42
6784.mv _nd45 2 Y N
6785.names _nd45
6786Y
6787# PressReadyToBeUnLoaded  == 0
6788.names PressReadyToBeUnLoaded _nd45 _nd44
6789.def 0
6790- =PressReadyToBeUnLoaded 1
6791.names _nd44 _nd46
6792- =_nd44
6793# RAExtendLoadArm  = 0
6794.mv RAExtendLoadArm$_nd44_nd47$true 3 Extend Retract Stop
6795.names RAExtendLoadArm$_nd44_nd47$true
6796Extend
6797# RARotaryMotor  = 0
6798.mv RARotaryMotor$_nd44_nd48$false 3 CWise Stop CCWise
6799.names RARotaryMotor$_nd44_nd48$false
6800CWise
6801# if/else (PressReadyToBeUnLoaded  == 0)
6802.mv RARotaryMotor$_nd44$raw_nd4a 3 CWise Stop CCWise
6803.names RARotaryMotor$_nccf$raw_nd1f RARotaryMotor$_nd44_nd48$false _nd44 RARotaryMotor$_nd44$raw_nd4a
6804- - 0 =RARotaryMotor$_nd44_nd48$false
6805- - 1 =RARotaryMotor$_nccf$raw_nd1f
6806.mv RAExtendLoadArm$_nd44$raw_nd4b 3 Extend Retract Stop
6807.names RAExtendLoadArm$_nd44_nd47$true RAExtendLoadArm$_nccf$raw_nd20 _nd44 RAExtendLoadArm$_nd44$raw_nd4b
6808- - 0 =RAExtendLoadArm$_nccf$raw_nd20
6809- - 1 =RAExtendLoadArm$_nd44_nd47$true
6810.mv _nd5e 2 Y N
6811.names _nd5e
6812Y
6813# RALoadArmRetracted  == 0
6814.names RALoadArmRetracted _nd5e _nd5d
6815.def 0
6816- =RALoadArmRetracted 1
6817.mv _nd60 2 Y N
6818.names _nd60
6819Y
6820# RAUnLoadArmRetracted  == 0
6821.names RAUnLoadArmRetracted _nd60 _nd5f
6822.def 0
6823- =RAUnLoadArmRetracted 1
6824# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0
6825.names _nd5d _nd5f _nd61
6826.def 0
68271 1 1
6828.mv _nd63 3 Extend Retract Stop
6829.names _nd63
6830Stop
6831# RAExtendLoadArm  == 2
6832.names RAExtendLoadArm$_nccf$raw_nd20 _nd63 _nd62
6833.def 0
6834- =RAExtendLoadArm$_nccf$raw_nd20 1
6835# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2
6836.names _nd61 _nd62 _nd64
6837.def 0
68381 1 1
6839.mv _nd66 3 Extend Retract Stop
6840.names _nd66
6841Stop
6842# RAExtendUnLoadArm  == 2
6843.names RAExtendUnLoadArm$_nc53$raw_ncca _nd66 _nd65
6844.def 0
6845- =RAExtendUnLoadArm$_nc53$raw_ncca 1
6846# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2
6847.names _nd64 _nd65 _nd67
6848.def 0
68491 1 1
6850.mv _nd69 3 CWise Stop CCWise
6851.names _nd69
6852CWise
6853# RARotaryMotor  == 0
6854.names RARotaryMotor$_nccf$raw_nd1f _nd69 _nd68
6855.def 0
6856- =RARotaryMotor$_nccf$raw_nd1f 1
6857# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 0
6858.names _nd67 _nd68 _nd6a
6859.def 0
68601 1 1
6861.names _nd6a _nd6b
6862- =_nd6a
6863# RARotaryMotor  = 1
6864.mv RARotaryMotor$_nd6a_nd6c$true 3 CWise Stop CCWise
6865.names RARotaryMotor$_nd6a_nd6c$true
6866Stop
6867.mv _nd6e 2 Y N
6868.names _nd6e
6869Y
6870# RTOutReady  == 0
6871.names RTOutReady _nd6e _nd6d
6872.def 0
6873- =RTOutReady 1
6874.names _nd6d _nd6f
6875- =_nd6d
6876# RAExtendLoadArm  = 0
6877.mv RAExtendLoadArm$_nd6d_nd70$true 3 Extend Retract Stop
6878.names RAExtendLoadArm$_nd6d_nd70$true
6879Extend
6880# if/else (RTOutReady  == 0)
6881.mv RAExtendLoadArm$_nd6d$raw_nd73 3 Extend Retract Stop
6882.names RAExtendLoadArm$_nd6d_nd70$true RAExtendLoadArm$_nccf$raw_nd20 _nd6d RAExtendLoadArm$_nd6d$raw_nd73
6883- - 0 =RAExtendLoadArm$_nccf$raw_nd20
6884- - 1 =RAExtendLoadArm$_nd6d_nd70$true
6885.mv _nd86 2 Y N
6886.names _nd86
6887Y
6888# RALoadArmRetracted  == 0
6889.names RALoadArmRetracted _nd86 _nd85
6890.def 0
6891- =RALoadArmRetracted 1
6892.mv _nd88 2 Y N
6893.names _nd88
6894N
6895# RAUnLoadArmRetracted  == 1
6896.names RAUnLoadArmRetracted _nd88 _nd87
6897.def 0
6898- =RAUnLoadArmRetracted 1
6899# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 1
6900.names _nd85 _nd87 _nd89
6901.def 0
69021 1 1
6903.mv _nd8b 2 Y N
6904.names _nd8b
6905N
6906# RAUnLoadArmExtended  == 1
6907.names RAUnLoadArmExtended _nd8b _nd8a
6908.def 0
6909- =RAUnLoadArmExtended 1
6910# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 1 && RAUnLoadArmExtended  == 1
6911.names _nd89 _nd8a _nd8c
6912.def 0
69131 1 1
6914.mv _nd8e 3 Extend Retract Stop
6915.names _nd8e
6916Stop
6917# RAExtendLoadArm  == 2
6918.names RAExtendLoadArm$_nccf$raw_nd20 _nd8e _nd8d
6919.def 0
6920- =RAExtendLoadArm$_nccf$raw_nd20 1
6921# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 1 && RAUnLoadArmExtended  == 1 && RAExtendLoadArm  == 2
6922.names _nd8c _nd8d _nd8f
6923.def 0
69241 1 1
6925.mv _nd91 3 Extend Retract Stop
6926.names _nd91
6927Extend
6928# RAExtendUnLoadArm  == 0
6929.names RAExtendUnLoadArm$_nc53$raw_ncca _nd91 _nd90
6930.def 0
6931- =RAExtendUnLoadArm$_nc53$raw_ncca 1
6932# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 1 && RAUnLoadArmExtended  == 1 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 0
6933.names _nd8f _nd90 _nd92
6934.def 0
69351 1 1
6936.mv _nd94 3 CWise Stop CCWise
6937.names _nd94
6938Stop
6939# RARotaryMotor  == 1
6940.names RARotaryMotor$_nccf$raw_nd1f _nd94 _nd93
6941.def 0
6942- =RARotaryMotor$_nccf$raw_nd1f 1
6943# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 1 && RAUnLoadArmExtended  == 1 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 0 && RARotaryMotor  == 1
6944.names _nd92 _nd93 _nd95
6945.def 0
69461 1 1
6947.names _nd95 _nd96
6948- =_nd95
6949# RAExtendUnLoadArm  = 1
6950.mv RAExtendUnLoadArm$_nd95_nd97$true 3 Extend Retract Stop
6951.names RAExtendUnLoadArm$_nd95_nd97$true
6952Retract
6953# ArmUnLoadedPress  = 0
6954.mv ArmUnLoadedPress$_nd95_nd98$true 2 Y N
6955.names ArmUnLoadedPress$_nd95_nd98$true
6956Y
6957# UnLoadArmLoaded  = 0
6958.mv UnLoadArmLoaded$_nd95_nd99$true 2 Y N
6959.names UnLoadArmLoaded$_nd95_nd99$true
6960Y
6961# if/else (RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 1 && RAUnLoadArmExtended  == 1 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 0 && RARotaryMotor  == 1)
6962.mv RAExtendUnLoadArm$_nd95$raw_nd9b 3 Extend Retract Stop
6963.names RAExtendUnLoadArm$_nd95_nd97$true RAExtendUnLoadArm$_nc53$raw_ncca _nd95 RAExtendUnLoadArm$_nd95$raw_nd9b
6964- - 0 =RAExtendUnLoadArm$_nc53$raw_ncca
6965- - 1 =RAExtendUnLoadArm$_nd95_nd97$true
6966.mv UnLoadArmLoaded$_nd95$raw_nda8 2 Y N
6967.names UnLoadArmLoaded$_nd95_nd99$true UnLoadArmLoaded _nd95 UnLoadArmLoaded$_nd95$raw_nda8
6968- - 0 =UnLoadArmLoaded
6969- - 1 =UnLoadArmLoaded$_nd95_nd99$true
6970.mv ArmUnLoadedPress$_nd95$raw_ndaa 2 Y N
6971.names ArmUnLoadedPress$_nd95_nd98$true ArmUnLoadedPress _nd95 ArmUnLoadedPress$_nd95$raw_ndaa
6972- - 0 =ArmUnLoadedPress
6973- - 1 =ArmUnLoadedPress$_nd95_nd98$true
6974# if/else (RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 0)
6975.mv RAExtendUnLoadArm$_nd6a$raw_ndb4 3 Extend Retract Stop
6976.names RAExtendUnLoadArm$_nc53$raw_ncca RAExtendUnLoadArm$_nd95$raw_nd9b _nd6a RAExtendUnLoadArm$_nd6a$raw_ndb4
6977- - 0 =RAExtendUnLoadArm$_nd95$raw_nd9b
6978- - 1 =RAExtendUnLoadArm$_nc53$raw_ncca
6979.mv RARotaryMotor$_nd6a$raw_ndb5 3 CWise Stop CCWise
6980.names RARotaryMotor$_nd6a_nd6c$true RARotaryMotor$_nccf$raw_nd1f _nd6a RARotaryMotor$_nd6a$raw_ndb5
6981- - 0 =RARotaryMotor$_nccf$raw_nd1f
6982- - 1 =RARotaryMotor$_nd6a_nd6c$true
6983.mv RAExtendLoadArm$_nd6a$raw_ndb6 3 Extend Retract Stop
6984.names RAExtendLoadArm$_nd6d$raw_nd73 RAExtendLoadArm$_nccf$raw_nd20 _nd6a RAExtendLoadArm$_nd6a$raw_ndb6
6985- - 0 =RAExtendLoadArm$_nccf$raw_nd20
6986- - 1 =RAExtendLoadArm$_nd6d$raw_nd73
6987.mv UnLoadArmLoaded$_nd6a$raw_ndc3 2 Y N
6988.names UnLoadArmLoaded UnLoadArmLoaded$_nd95$raw_nda8 _nd6a UnLoadArmLoaded$_nd6a$raw_ndc3
6989- - 0 =UnLoadArmLoaded$_nd95$raw_nda8
6990- - 1 =UnLoadArmLoaded
6991.mv ArmUnLoadedPress$_nd6a$raw_ndc5 2 Y N
6992.names ArmUnLoadedPress ArmUnLoadedPress$_nd95$raw_ndaa _nd6a ArmUnLoadedPress$_nd6a$raw_ndc5
6993- - 0 =ArmUnLoadedPress$_nd95$raw_ndaa
6994- - 1 =ArmUnLoadedPress
6995# if/else (RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1)
6996.mv RAExtendUnLoadArm$_nd42$raw_ndca 3 Extend Retract Stop
6997.names RAExtendUnLoadArm$_nc53$raw_ncca RAExtendUnLoadArm$_nd6a$raw_ndb4 _nd42 RAExtendUnLoadArm$_nd42$raw_ndca
6998- - 0 =RAExtendUnLoadArm$_nd6a$raw_ndb4
6999- - 1 =RAExtendUnLoadArm$_nc53$raw_ncca
7000.mv RARotaryMotor$_nd42$raw_ndcb 3 CWise Stop CCWise
7001.names RARotaryMotor$_nd44$raw_nd4a RARotaryMotor$_nd6a$raw_ndb5 _nd42 RARotaryMotor$_nd42$raw_ndcb
7002- - 0 =RARotaryMotor$_nd6a$raw_ndb5
7003- - 1 =RARotaryMotor$_nd44$raw_nd4a
7004.mv RAExtendLoadArm$_nd42$raw_ndcc 3 Extend Retract Stop
7005.names RAExtendLoadArm$_nd44$raw_nd4b RAExtendLoadArm$_nd6a$raw_ndb6 _nd42 RAExtendLoadArm$_nd42$raw_ndcc
7006- - 0 =RAExtendLoadArm$_nd6a$raw_ndb6
7007- - 1 =RAExtendLoadArm$_nd44$raw_nd4b
7008.mv UnLoadArmLoaded$_nd42$raw_ndd9 2 Y N
7009.names UnLoadArmLoaded UnLoadArmLoaded$_nd6a$raw_ndc3 _nd42 UnLoadArmLoaded$_nd42$raw_ndd9
7010- - 0 =UnLoadArmLoaded$_nd6a$raw_ndc3
7011- - 1 =UnLoadArmLoaded
7012.mv ArmUnLoadedPress$_nd42$raw_nddb 2 Y N
7013.names ArmUnLoadedPress ArmUnLoadedPress$_nd6a$raw_ndc5 _nd42 ArmUnLoadedPress$_nd42$raw_nddb
7014- - 0 =ArmUnLoadedPress$_nd6a$raw_ndc5
7015- - 1 =ArmUnLoadedPress
7016# if/else (RAArmOverUnLoadedPress  == 0)
7017.mv RAExtendUnLoadArm$_nd32$raw_nde1 3 Extend Retract Stop
7018.names RAExtendUnLoadArm$_nd42$raw_ndca RAExtendUnLoadArm$_nc53$raw_ncca _nd32 RAExtendUnLoadArm$_nd32$raw_nde1
7019- - 0 =RAExtendUnLoadArm$_nc53$raw_ncca
7020- - 1 =RAExtendUnLoadArm$_nd42$raw_ndca
7021.mv RARotaryMotor$_nd32$raw_nde3 3 CWise Stop CCWise
7022.names RARotaryMotor$_nd42$raw_ndcb RARotaryMotor$_nccf$raw_nd1f _nd32 RARotaryMotor$_nd32$raw_nde3
7023- - 0 =RARotaryMotor$_nccf$raw_nd1f
7024- - 1 =RARotaryMotor$_nd42$raw_ndcb
7025.mv RAExtendLoadArm$_nd32$raw_nde4 3 Extend Retract Stop
7026.names RAExtendLoadArm$_nd42$raw_ndcc RAExtendLoadArm$_nccf$raw_nd20 _nd32 RAExtendLoadArm$_nd32$raw_nde4
7027- - 0 =RAExtendLoadArm$_nccf$raw_nd20
7028- - 1 =RAExtendLoadArm$_nd42$raw_ndcc
7029.mv UnLoadArmLoaded$_nd32$raw_ndee 2 Y N
7030.names UnLoadArmLoaded$_nd42$raw_ndd9 UnLoadArmLoaded _nd32 UnLoadArmLoaded$_nd32$raw_ndee
7031- - 0 =UnLoadArmLoaded
7032- - 1 =UnLoadArmLoaded$_nd42$raw_ndd9
7033.mv ArmUnLoadedPress$_nd32$raw_ndf0 2 Y N
7034.names ArmUnLoadedPress$_nd42$raw_nddb ArmUnLoadedPress _nd32 ArmUnLoadedPress$_nd32$raw_ndf0
7035- - 0 =ArmUnLoadedPress
7036- - 1 =ArmUnLoadedPress$_nd42$raw_nddb
7037.mv _ndfb 2 Y N
7038.names _ndfb
7039Y
7040# RAArmOverDB  == 0
7041.names RAArmOverDB _ndfb _ndfa
7042.def 0
7043- =RAArmOverDB 1
7044.names _ndfa _ndfc
7045- =_ndfa
7046.mv _ndfe 2 Y N
7047.names _ndfe
7048Y
7049# RALoadArmRetracted  == 0
7050.names RALoadArmRetracted _ndfe _ndfd
7051.def 0
7052- =RALoadArmRetracted 1
7053.mv _ne00 2 Y N
7054.names _ne00
7055Y
7056# RAUnLoadArmRetracted  == 0
7057.names RAUnLoadArmRetracted _ne00 _ndff
7058.def 0
7059- =RAUnLoadArmRetracted 1
7060# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0
7061.names _ndfd _ndff _ne01
7062.def 0
70631 1 1
7064.mv _ne03 3 Extend Retract Stop
7065.names _ne03
7066Stop
7067# RAExtendLoadArm  == 2
7068.names RAExtendLoadArm$_nd32$raw_nde4 _ne03 _ne02
7069.def 0
7070- =RAExtendLoadArm$_nd32$raw_nde4 1
7071# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2
7072.names _ne01 _ne02 _ne04
7073.def 0
70741 1 1
7075.mv _ne06 3 Extend Retract Stop
7076.names _ne06
7077Stop
7078# RAExtendUnLoadArm  == 2
7079.names RAExtendUnLoadArm$_nd32$raw_nde1 _ne06 _ne05
7080.def 0
7081- =RAExtendUnLoadArm$_nd32$raw_nde1 1
7082# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2
7083.names _ne04 _ne05 _ne07
7084.def 0
70851 1 1
7086.mv _ne09 3 CWise Stop CCWise
7087.names _ne09
7088Stop
7089# RARotaryMotor  == 1
7090.names RARotaryMotor$_nd32$raw_nde3 _ne09 _ne08
7091.def 0
7092- =RARotaryMotor$_nd32$raw_nde3 1
7093# RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1
7094.names _ne07 _ne08 _ne0a
7095.def 0
70961 1 1
7097.names _ne0a _ne0b
7098- =_ne0a
7099# RARotaryMotor  = 0
7100.mv RARotaryMotor$_ne0a_ne0c$true 3 CWise Stop CCWise
7101.names RARotaryMotor$_ne0a_ne0c$true
7102CWise
7103# if/else (RALoadArmRetracted  == 0 && RAUnLoadArmRetracted  == 0 && RAExtendLoadArm  == 2 && RAExtendUnLoadArm  == 2 && RARotaryMotor  == 1)
7104.mv RARotaryMotor$_ne0a$raw_ne10 3 CWise Stop CCWise
7105.names RARotaryMotor$_ne0a_ne0c$true RARotaryMotor$_nd32$raw_nde3 _ne0a RARotaryMotor$_ne0a$raw_ne10
7106- - 0 =RARotaryMotor$_nd32$raw_nde3
7107- - 1 =RARotaryMotor$_ne0a_ne0c$true
7108.mv _ne2a 3 Extend Retract Stop
7109.names _ne2a
7110Retract
7111# RAExtendUnLoadArm  == 1
7112.names RAExtendUnLoadArm$_nd32$raw_nde1 _ne2a _ne29
7113.def 0
7114- =RAExtendUnLoadArm$_nd32$raw_nde1 1
7115.mv _ne2c 2 Y N
7116.names _ne2c
7117N
7118# RAUnLoadArmExtended  == 1
7119.names RAUnLoadArmExtended _ne2c _ne2b
7120.def 0
7121- =RAUnLoadArmExtended 1
7122# RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1
7123.names _ne29 _ne2b _ne2d
7124.def 0
71251 1 1
7126.mv _ne2f 2 Y N
7127.names _ne2f
7128N
7129# RAUnLoadArmRetracted  == 1
7130.names RAUnLoadArmRetracted _ne2f _ne2e
7131.def 0
7132- =RAUnLoadArmRetracted 1
7133# RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1
7134.names _ne2d _ne2e _ne30
7135.def 0
71361 1 1
7137.names _ne30 _ne31
7138- =_ne30
7139# RAExtendUnLoadArm  = 2
7140.mv RAExtendUnLoadArm$_ne30_ne32$true 3 Extend Retract Stop
7141.names RAExtendUnLoadArm$_ne30_ne32$true
7142Stop
7143# RARotaryMotor  = 0
7144.mv RARotaryMotor$_ne30_ne33$true 3 CWise Stop CCWise
7145.names RARotaryMotor$_ne30_ne33$true
7146CWise
7147# if/else (RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1)
7148.mv RAExtendUnLoadArm$_ne30$raw_ne35 3 Extend Retract Stop
7149.names RAExtendUnLoadArm$_ne30_ne32$true RAExtendUnLoadArm$_nd32$raw_nde1 _ne30 RAExtendUnLoadArm$_ne30$raw_ne35
7150- - 0 =RAExtendUnLoadArm$_nd32$raw_nde1
7151- - 1 =RAExtendUnLoadArm$_ne30_ne32$true
7152.mv RARotaryMotor$_ne30$raw_ne37 3 CWise Stop CCWise
7153.names RARotaryMotor$_ne30_ne33$true RARotaryMotor$_ne0a$raw_ne10 _ne30 RARotaryMotor$_ne30$raw_ne37
7154- - 0 =RARotaryMotor$_ne0a$raw_ne10
7155- - 1 =RARotaryMotor$_ne30_ne33$true
7156.mv _ne51 3 CWise Stop CCWise
7157.names _ne51
7158CWise
7159# RARotaryMotor  == 0
7160.names RARotaryMotor$_ne30$raw_ne37 _ne51 _ne50
7161.def 0
7162- =RARotaryMotor$_ne30$raw_ne37 1
7163.names _ne50 _ne52
7164- =_ne50
7165.mv _ne54 2 Y N
7166.names _ne54
7167Y
7168# PressReadyToBeUnLoaded  == 0
7169.names PressReadyToBeUnLoaded _ne54 _ne53
7170.def 0
7171- =PressReadyToBeUnLoaded 1
7172.mv _ne56 2 Y N
7173.names _ne56
7174N
7175# RTOutReady  == 1
7176.names RTOutReady _ne56 _ne55
7177.def 0
7178- =RTOutReady 1
7179# PressReadyToBeUnLoaded  == 0 && RTOutReady  == 1
7180.names _ne53 _ne55 _ne57
7181.def 0
71821 1 1
7183.names _ne57 _ne58
7184- =_ne57
7185# RARotaryMotor  = 1
7186.mv RARotaryMotor$_ne57_ne59$true 3 CWise Stop CCWise
7187.names RARotaryMotor$_ne57_ne59$true
7188Stop
7189# RAExtendUnLoadArm  = 0
7190.mv RAExtendUnLoadArm$_ne57_ne5a$true 3 Extend Retract Stop
7191.names RAExtendUnLoadArm$_ne57_ne5a$true
7192Extend
7193# if/else (PressReadyToBeUnLoaded  == 0 && RTOutReady  == 1)
7194.mv RAExtendUnLoadArm$_ne57$raw_ne5b 3 Extend Retract Stop
7195.names RAExtendUnLoadArm$_ne57_ne5a$true RAExtendUnLoadArm$_ne30$raw_ne35 _ne57 RAExtendUnLoadArm$_ne57$raw_ne5b
7196- - 0 =RAExtendUnLoadArm$_ne30$raw_ne35
7197- - 1 =RAExtendUnLoadArm$_ne57_ne5a$true
7198.mv RARotaryMotor$_ne57$raw_ne5d 3 CWise Stop CCWise
7199.names RARotaryMotor$_ne57_ne59$true RARotaryMotor$_ne30$raw_ne37 _ne57 RARotaryMotor$_ne57$raw_ne5d
7200- - 0 =RARotaryMotor$_ne30$raw_ne37
7201- - 1 =RARotaryMotor$_ne57_ne59$true
7202# if/else (RARotaryMotor  == 0)
7203.mv RAExtendUnLoadArm$_ne50$raw_ne78 3 Extend Retract Stop
7204.names RAExtendUnLoadArm$_ne57$raw_ne5b RAExtendUnLoadArm$_ne30$raw_ne35 _ne50 RAExtendUnLoadArm$_ne50$raw_ne78
7205- - 0 =RAExtendUnLoadArm$_ne30$raw_ne35
7206- - 1 =RAExtendUnLoadArm$_ne57$raw_ne5b
7207.mv RARotaryMotor$_ne50$raw_ne7a 3 CWise Stop CCWise
7208.names RARotaryMotor$_ne57$raw_ne5d RARotaryMotor$_ne30$raw_ne37 _ne50 RARotaryMotor$_ne50$raw_ne7a
7209- - 0 =RARotaryMotor$_ne30$raw_ne37
7210- - 1 =RARotaryMotor$_ne57$raw_ne5d
7211# if/else (RAArmOverDB  == 0)
7212.mv RAExtendUnLoadArm$_ndfa$raw_ne93 3 Extend Retract Stop
7213.names RAExtendUnLoadArm$_ne50$raw_ne78 RAExtendUnLoadArm$_nd32$raw_nde1 _ndfa RAExtendUnLoadArm$_ndfa$raw_ne93
7214- - 0 =RAExtendUnLoadArm$_nd32$raw_nde1
7215- - 1 =RAExtendUnLoadArm$_ne50$raw_ne78
7216.mv RARotaryMotor$_ndfa$raw_ne95 3 CWise Stop CCWise
7217.names RARotaryMotor$_ne50$raw_ne7a RARotaryMotor$_nd32$raw_nde3 _ndfa RARotaryMotor$_ndfa$raw_ne95
7218- - 0 =RARotaryMotor$_nd32$raw_nde3
7219- - 1 =RARotaryMotor$_ne50$raw_ne7a
7220.mv _neb0 2 Y N
7221.names _neb0
7222N
7223# LoadArmLoaded  == 1
7224.names LoadArmLoaded _neb0 _neaf
7225.def 0
7226- =LoadArmLoaded 1
7227.mv _neb2 2 Y N
7228.names _neb2
7229Y
7230# UnLoadArmLoaded  == 0
7231.names UnLoadArmLoaded _neb2 _neb1
7232.def 0
7233- =UnLoadArmLoaded 1
7234# LoadArmLoaded  == 1 && UnLoadArmLoaded  == 0
7235.names _neaf _neb1 _neb3
7236.def 0
72371 1 1
7238.names _neb3 _neb4
7239- =_neb3
7240.mv _neb6 2 Y N
7241.names _neb6
7242Y
7243# RAArmOverUnLoadedPress  == 0
7244.names RAArmOverUnLoadedPress _neb6 _neb5
7245.def 0
7246- =RAArmOverUnLoadedPress 1
7247.names _neb5 _neb7
7248- =_neb5
7249.mv _neb9 3 CWise Stop CCWise
7250.names _neb9
7251CCWise
7252# RARotaryMotor  == 2
7253.names RARotaryMotor _neb9 _neb8
7254.def 0
7255- =RARotaryMotor 1
7256.names _neb8 _neba
7257- =_neb8
7258# RARotaryMotor  = 1
7259.mv RARotaryMotor$_neb8_nebb$true 3 CWise Stop CCWise
7260.names RARotaryMotor$_neb8_nebb$true
7261Stop
7262# RAExtendUnLoadArm  = 0
7263.mv RAExtendUnLoadArm$_neb8_nebc$true 3 Extend Retract Stop
7264.names RAExtendUnLoadArm$_neb8_nebc$true
7265Extend
7266# if/else (RARotaryMotor  == 2)
7267.mv RAExtendUnLoadArm$_neb8$raw_nec1 3 Extend Retract Stop
7268.names RAExtendUnLoadArm$_neb8_nebc$true RAExtendUnLoadArm _neb8 RAExtendUnLoadArm$_neb8$raw_nec1
7269- - 0 =RAExtendUnLoadArm
7270- - 1 =RAExtendUnLoadArm$_neb8_nebc$true
7271.mv RARotaryMotor$_neb8$raw_nec2 3 CWise Stop CCWise
7272.names RARotaryMotor$_neb8_nebb$true RARotaryMotor _neb8 RARotaryMotor$_neb8$raw_nec2
7273- - 0 =RARotaryMotor
7274- - 1 =RARotaryMotor$_neb8_nebb$true
7275.mv _nec4 3 Extend Retract Stop
7276.names _nec4
7277Retract
7278# RAExtendUnLoadArm  == 1
7279.names RAExtendUnLoadArm$_neb8$raw_nec1 _nec4 _nec3
7280.def 0
7281- =RAExtendUnLoadArm$_neb8$raw_nec1 1
7282.mv _nec6 2 Y N
7283.names _nec6
7284N
7285# RAUnLoadArmExtended  == 1
7286.names RAUnLoadArmExtended _nec6 _nec5
7287.def 0
7288- =RAUnLoadArmExtended 1
7289# RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1
7290.names _nec3 _nec5 _nec7
7291.def 0
72921 1 1
7293.mv _nec9 2 Y N
7294.names _nec9
7295N
7296# RAUnLoadArmRetracted  == 1
7297.names RAUnLoadArmRetracted _nec9 _nec8
7298.def 0
7299- =RAUnLoadArmRetracted 1
7300# RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1
7301.names _nec7 _nec8 _neca
7302.def 0
73031 1 1
7304.names _neca _necb
7305- =_neca
7306# RAExtendUnLoadArm  = 2
7307.mv RAExtendUnLoadArm$_neca_necc$true 3 Extend Retract Stop
7308.names RAExtendUnLoadArm$_neca_necc$true
7309Stop
7310# RARotaryMotor  = 2
7311.mv RARotaryMotor$_neca_necd$true 3 CWise Stop CCWise
7312.names RARotaryMotor$_neca_necd$true
7313CCWise
7314# if/else (RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1)
7315.mv RAExtendUnLoadArm$_neca$raw_nece 3 Extend Retract Stop
7316.names RAExtendUnLoadArm$_neca_necc$true RAExtendUnLoadArm$_neb8$raw_nec1 _neca RAExtendUnLoadArm$_neca$raw_nece
7317- - 0 =RAExtendUnLoadArm$_neb8$raw_nec1
7318- - 1 =RAExtendUnLoadArm$_neca_necc$true
7319.mv RARotaryMotor$_neca$raw_necf 3 CWise Stop CCWise
7320.names RARotaryMotor$_neca_necd$true RARotaryMotor$_neb8$raw_nec2 _neca RARotaryMotor$_neca$raw_necf
7321- - 0 =RARotaryMotor$_neb8$raw_nec2
7322- - 1 =RARotaryMotor$_neca_necd$true
7323# if/else (RAArmOverUnLoadedPress  == 0)
7324.mv RAExtendUnLoadArm$_neb5$raw_neda 3 Extend Retract Stop
7325.names RAExtendUnLoadArm$_neca$raw_nece RAExtendUnLoadArm _neb5 RAExtendUnLoadArm$_neb5$raw_neda
7326- - 0 =RAExtendUnLoadArm
7327- - 1 =RAExtendUnLoadArm$_neca$raw_nece
7328.mv RARotaryMotor$_neb5$raw_nedb 3 CWise Stop CCWise
7329.names RARotaryMotor$_neca$raw_necf RARotaryMotor _neb5 RARotaryMotor$_neb5$raw_nedb
7330- - 0 =RARotaryMotor
7331- - 1 =RARotaryMotor$_neca$raw_necf
7332.mv _nedd 2 Y N
7333.names _nedd
7334Y
7335# RAArmOverDB  == 0
7336.names RAArmOverDB _nedd _nedc
7337.def 0
7338- =RAArmOverDB 1
7339.names _nedc _nede
7340- =_nedc
7341.mv _nee0 3 Extend Retract Stop
7342.names _nee0
7343Extend
7344# RAExtendUnLoadArm  == 0
7345.names RAExtendUnLoadArm$_neb5$raw_neda _nee0 _nedf
7346.def 0
7347- =RAExtendUnLoadArm$_neb5$raw_neda 1
7348.mv _nee2 2 Y N
7349.names _nee2
7350N
7351# RAUnLoadArmExtended  == 1
7352.names RAUnLoadArmExtended _nee2 _nee1
7353.def 0
7354- =RAUnLoadArmExtended 1
7355# RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1
7356.names _nedf _nee1 _nee3
7357.def 0
73581 1 1
7359.mv _nee5 2 Y N
7360.names _nee5
7361N
7362# RAUnLoadArmRetracted  == 1
7363.names RAUnLoadArmRetracted _nee5 _nee4
7364.def 0
7365- =RAUnLoadArmRetracted 1
7366# RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1
7367.names _nee3 _nee4 _nee6
7368.def 0
73691 1 1
7370.names _nee6 _nee7
7371- =_nee6
7372.mv _nee9 2 Y N
7373.names _nee9
7374Y
7375# DBReady  == 0
7376.names DBReady _nee9 _nee8
7377.def 0
7378- =DBReady 1
7379.names _nee8 _neea
7380- =_nee8
7381# RAExtendUnLoadArm  = 1
7382.mv RAExtendUnLoadArm$_nee8_neeb$true 3 Extend Retract Stop
7383.names RAExtendUnLoadArm$_nee8_neeb$true
7384Retract
7385# PieceOutArm  = 0
7386.mv PieceOutArm$_nee8_neec$true 2 Y N
7387.names PieceOutArm$_nee8_neec$true
7388Y
7389# UnLoadArmLoaded  = 1
7390.mv UnLoadArmLoaded$_nee8_need$true 2 Y N
7391.names UnLoadArmLoaded$_nee8_need$true
7392N
7393# RAExtendUnLoadArm  = 2
7394.mv RAExtendUnLoadArm$_nee8_neee$false 3 Extend Retract Stop
7395.names RAExtendUnLoadArm$_nee8_neee$false
7396Stop
7397# if/else (DBReady  == 0)
7398.mv RAExtendUnLoadArm$_nee8$raw_nef0 3 Extend Retract Stop
7399.names RAExtendUnLoadArm$_nee8_neeb$true RAExtendUnLoadArm$_nee8_neee$false _nee8 RAExtendUnLoadArm$_nee8$raw_nef0
7400- - 0 =RAExtendUnLoadArm$_nee8_neee$false
7401- - 1 =RAExtendUnLoadArm$_nee8_neeb$true
7402.mv UnLoadArmLoaded$_nee8$raw_nef7 2 Y N
7403.names UnLoadArmLoaded$_nee8_need$true UnLoadArmLoaded _nee8 UnLoadArmLoaded$_nee8$raw_nef7
7404- - 0 =UnLoadArmLoaded
7405- - 1 =UnLoadArmLoaded$_nee8_need$true
7406.mv PieceOutArm$_nee8$raw_nefa 2 Y N
7407.names PieceOutArm$_nee8_neec$true PieceOutArm _nee8 PieceOutArm$_nee8$raw_nefa
7408- - 0 =PieceOutArm
7409- - 1 =PieceOutArm$_nee8_neec$true
7410# if/else (RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1)
7411.mv RAExtendUnLoadArm$_nee6$raw_nefe 3 Extend Retract Stop
7412.names RAExtendUnLoadArm$_nee8$raw_nef0 RAExtendUnLoadArm$_neb5$raw_neda _nee6 RAExtendUnLoadArm$_nee6$raw_nefe
7413- - 0 =RAExtendUnLoadArm$_neb5$raw_neda
7414- - 1 =RAExtendUnLoadArm$_nee8$raw_nef0
7415.mv UnLoadArmLoaded$_nee6$raw_nf05 2 Y N
7416.names UnLoadArmLoaded$_nee8$raw_nef7 UnLoadArmLoaded _nee6 UnLoadArmLoaded$_nee6$raw_nf05
7417- - 0 =UnLoadArmLoaded
7418- - 1 =UnLoadArmLoaded$_nee8$raw_nef7
7419.mv PieceOutArm$_nee6$raw_nf08 2 Y N
7420.names PieceOutArm$_nee8$raw_nefa PieceOutArm _nee6 PieceOutArm$_nee6$raw_nf08
7421- - 0 =PieceOutArm
7422- - 1 =PieceOutArm$_nee8$raw_nefa
7423.mv _nf0c 3 Extend Retract Stop
7424.names _nf0c
7425Stop
7426# RAExtendUnLoadArm  == 2
7427.names RAExtendUnLoadArm$_nee6$raw_nefe _nf0c _nf0b
7428.def 0
7429- =RAExtendUnLoadArm$_nee6$raw_nefe 1
7430.mv _nf0e 2 Y N
7431.names _nf0e
7432Y
7433# RAUnLoadArmExtended  == 0
7434.names RAUnLoadArmExtended _nf0e _nf0d
7435.def 0
7436- =RAUnLoadArmExtended 1
7437# RAExtendUnLoadArm  == 2 && RAUnLoadArmExtended  == 0
7438.names _nf0b _nf0d _nf0f
7439.def 0
74401 1 1
7441.mv _nf11 2 Y N
7442.names _nf11
7443Y
7444# DBReady  == 0
7445.names DBReady _nf11 _nf10
7446.def 0
7447- =DBReady 1
7448# RAExtendUnLoadArm  == 2 && RAUnLoadArmExtended  == 0 && DBReady  == 0
7449.names _nf0f _nf10 _nf12
7450.def 0
74511 1 1
7452.names _nf12 _nf13
7453- =_nf12
7454# RAExtendUnLoadArm  = 1
7455.mv RAExtendUnLoadArm$_nf12_nf14$true 3 Extend Retract Stop
7456.names RAExtendUnLoadArm$_nf12_nf14$true
7457Retract
7458# PieceOutArm  = 0
7459.mv PieceOutArm$_nf12_nf15$true 2 Y N
7460.names PieceOutArm$_nf12_nf15$true
7461Y
7462# UnLoadArmLoaded  = 1
7463.mv UnLoadArmLoaded$_nf12_nf16$true 2 Y N
7464.names UnLoadArmLoaded$_nf12_nf16$true
7465N
7466# if/else (RAExtendUnLoadArm  == 2 && RAUnLoadArmExtended  == 0 && DBReady  == 0)
7467.mv RAExtendUnLoadArm$_nf12$raw_nf17 3 Extend Retract Stop
7468.names RAExtendUnLoadArm$_nf12_nf14$true RAExtendUnLoadArm$_nee6$raw_nefe _nf12 RAExtendUnLoadArm$_nf12$raw_nf17
7469- - 0 =RAExtendUnLoadArm$_nee6$raw_nefe
7470- - 1 =RAExtendUnLoadArm$_nf12_nf14$true
7471.mv UnLoadArmLoaded$_nf12$raw_nf18 2 Y N
7472.names UnLoadArmLoaded$_nf12_nf16$true UnLoadArmLoaded$_nee6$raw_nf05 _nf12 UnLoadArmLoaded$_nf12$raw_nf18
7473- - 0 =UnLoadArmLoaded$_nee6$raw_nf05
7474- - 1 =UnLoadArmLoaded$_nf12_nf16$true
7475.mv PieceOutArm$_nf12$raw_nf1a 2 Y N
7476.names PieceOutArm$_nf12_nf15$true PieceOutArm$_nee6$raw_nf08 _nf12 PieceOutArm$_nf12$raw_nf1a
7477- - 0 =PieceOutArm$_nee6$raw_nf08
7478- - 1 =PieceOutArm$_nf12_nf15$true
7479# if/else (RAArmOverDB  == 0)
7480.mv RAExtendUnLoadArm$_nedc$raw_nf28 3 Extend Retract Stop
7481.names RAExtendUnLoadArm$_nf12$raw_nf17 RAExtendUnLoadArm$_neb5$raw_neda _nedc RAExtendUnLoadArm$_nedc$raw_nf28
7482- - 0 =RAExtendUnLoadArm$_neb5$raw_neda
7483- - 1 =RAExtendUnLoadArm$_nf12$raw_nf17
7484.mv UnLoadArmLoaded$_nedc$raw_nf2f 2 Y N
7485.names UnLoadArmLoaded$_nf12$raw_nf18 UnLoadArmLoaded _nedc UnLoadArmLoaded$_nedc$raw_nf2f
7486- - 0 =UnLoadArmLoaded
7487- - 1 =UnLoadArmLoaded$_nf12$raw_nf18
7488.mv PieceOutArm$_nedc$raw_nf32 2 Y N
7489.names PieceOutArm$_nf12$raw_nf1a PieceOutArm _nedc PieceOutArm$_nedc$raw_nf32
7490- - 0 =PieceOutArm
7491- - 1 =PieceOutArm$_nf12$raw_nf1a
7492.mv _nf36 2 Y N
7493.names _nf36
7494Y
7495# LoadArmLoaded  == 0
7496.names LoadArmLoaded _nf36 _nf35
7497.def 0
7498- =LoadArmLoaded 1
7499.mv _nf38 2 Y N
7500.names _nf38
7501N
7502# UnLoadArmLoaded  == 1
7503.names UnLoadArmLoaded _nf38 _nf37
7504.def 0
7505- =UnLoadArmLoaded 1
7506# LoadArmLoaded  == 0 && UnLoadArmLoaded  == 1
7507.names _nf35 _nf37 _nf39
7508.def 0
75091 1 1
7510.names _nf39 _nf3a
7511- =_nf39
7512.mv _nf3c 2 Y N
7513.names _nf3c
7514Y
7515# RAArmOverRT  == 0
7516.names RAArmOverRT _nf3c _nf3b
7517.def 0
7518- =RAArmOverRT 1
7519.names _nf3b _nf3d
7520- =_nf3b
7521.mv _nf3f 3 CWise Stop CCWise
7522.names _nf3f
7523CCWise
7524# RARotaryMotor  == 2
7525.names RARotaryMotor _nf3f _nf3e
7526.def 0
7527- =RARotaryMotor 1
7528.names _nf3e _nf40
7529- =_nf3e
7530.mv _nf42 2 Y N
7531.names _nf42
7532Y
7533# PressReadyToBeUnLoaded  == 0
7534.names PressReadyToBeUnLoaded _nf42 _nf41
7535.def 0
7536- =PressReadyToBeUnLoaded 1
7537.names _nf41 _nf43
7538- =_nf41
7539# RARotaryMotor  = 1
7540.mv RARotaryMotor$_nf41_nf44$true 3 CWise Stop CCWise
7541.names RARotaryMotor$_nf41_nf44$true
7542Stop
7543# RAExtendUnLoadArm  = 0
7544.mv RAExtendUnLoadArm$_nf41_nf45$true 3 Extend Retract Stop
7545.names RAExtendUnLoadArm$_nf41_nf45$true
7546Extend
7547# if/else (PressReadyToBeUnLoaded  == 0)
7548.mv RAExtendUnLoadArm$_nf41$raw_nf4a 3 Extend Retract Stop
7549.names RAExtendUnLoadArm$_nf41_nf45$true RAExtendUnLoadArm _nf41 RAExtendUnLoadArm$_nf41$raw_nf4a
7550- - 0 =RAExtendUnLoadArm
7551- - 1 =RAExtendUnLoadArm$_nf41_nf45$true
7552.mv RARotaryMotor$_nf41$raw_nf4b 3 CWise Stop CCWise
7553.names RARotaryMotor$_nf41_nf44$true RARotaryMotor _nf41 RARotaryMotor$_nf41$raw_nf4b
7554- - 0 =RARotaryMotor
7555- - 1 =RARotaryMotor$_nf41_nf44$true
7556# if/else (RARotaryMotor  == 2)
7557.mv RAExtendUnLoadArm$_nf3e$raw_nf50 3 Extend Retract Stop
7558.names RAExtendUnLoadArm$_nf41$raw_nf4a RAExtendUnLoadArm _nf3e RAExtendUnLoadArm$_nf3e$raw_nf50
7559- - 0 =RAExtendUnLoadArm
7560- - 1 =RAExtendUnLoadArm$_nf41$raw_nf4a
7561.mv RARotaryMotor$_nf3e$raw_nf51 3 CWise Stop CCWise
7562.names RARotaryMotor$_nf41$raw_nf4b RARotaryMotor _nf3e RARotaryMotor$_nf3e$raw_nf51
7563- - 0 =RARotaryMotor
7564- - 1 =RARotaryMotor$_nf41$raw_nf4b
7565.mv _nf53 3 Extend Retract Stop
7566.names _nf53
7567Retract
7568# RAExtendLoadArm  == 1
7569.names RAExtendLoadArm _nf53 _nf52
7570.def 0
7571- =RAExtendLoadArm 1
7572.mv _nf55 2 Y N
7573.names _nf55
7574N
7575# RALoadArmExtended  == 1
7576.names RALoadArmExtended _nf55 _nf54
7577.def 0
7578- =RALoadArmExtended 1
7579# RAExtendLoadArm  == 1 && RALoadArmExtended  == 1
7580.names _nf52 _nf54 _nf56
7581.def 0
75821 1 1
7583.mv _nf58 2 Y N
7584.names _nf58
7585N
7586# RALoadArmRetracted  == 1
7587.names RALoadArmRetracted _nf58 _nf57
7588.def 0
7589- =RALoadArmRetracted 1
7590# RAExtendLoadArm  == 1 && RALoadArmExtended  == 1 && RALoadArmRetracted  == 1
7591.names _nf56 _nf57 _nf59
7592.def 0
75931 1 1
7594.names _nf59 _nf5a
7595- =_nf59
7596# RAExtendLoadArm  = 2
7597.mv RAExtendLoadArm$_nf59_nf5b$true 3 Extend Retract Stop
7598.names RAExtendLoadArm$_nf59_nf5b$true
7599Stop
7600# RARotaryMotor  = 2
7601.mv RARotaryMotor$_nf59_nf5c$true 3 CWise Stop CCWise
7602.names RARotaryMotor$_nf59_nf5c$true
7603CCWise
7604# if/else (RAExtendLoadArm  == 1 && RALoadArmExtended  == 1 && RALoadArmRetracted  == 1)
7605.mv RARotaryMotor$_nf59$raw_nf5e 3 CWise Stop CCWise
7606.names RARotaryMotor$_nf59_nf5c$true RARotaryMotor$_nf3e$raw_nf51 _nf59 RARotaryMotor$_nf59$raw_nf5e
7607- - 0 =RARotaryMotor$_nf3e$raw_nf51
7608- - 1 =RARotaryMotor$_nf59_nf5c$true
7609.mv RAExtendLoadArm$_nf59$raw_nf65 3 Extend Retract Stop
7610.names RAExtendLoadArm$_nf59_nf5b$true RAExtendLoadArm _nf59 RAExtendLoadArm$_nf59$raw_nf65
7611- - 0 =RAExtendLoadArm
7612- - 1 =RAExtendLoadArm$_nf59_nf5b$true
7613# if/else (RAArmOverRT  == 0)
7614.mv RAExtendUnLoadArm$_nf3b$raw_nf6e 3 Extend Retract Stop
7615.names RAExtendUnLoadArm$_nf3e$raw_nf50 RAExtendUnLoadArm _nf3b RAExtendUnLoadArm$_nf3b$raw_nf6e
7616- - 0 =RAExtendUnLoadArm
7617- - 1 =RAExtendUnLoadArm$_nf3e$raw_nf50
7618.mv RARotaryMotor$_nf3b$raw_nf6f 3 CWise Stop CCWise
7619.names RARotaryMotor$_nf59$raw_nf5e RARotaryMotor _nf3b RARotaryMotor$_nf3b$raw_nf6f
7620- - 0 =RARotaryMotor
7621- - 1 =RARotaryMotor$_nf59$raw_nf5e
7622.mv RAExtendLoadArm$_nf3b$raw_nf70 3 Extend Retract Stop
7623.names RAExtendLoadArm$_nf59$raw_nf65 RAExtendLoadArm _nf3b RAExtendLoadArm$_nf3b$raw_nf70
7624- - 0 =RAExtendLoadArm
7625- - 1 =RAExtendLoadArm$_nf59$raw_nf65
7626.mv _nf72 2 Y N
7627.names _nf72
7628Y
7629# RAArmOverLoadedPress  == 0
7630.names RAArmOverLoadedPress _nf72 _nf71
7631.def 0
7632- =RAArmOverLoadedPress 1
7633.names _nf71 _nf73
7634- =_nf71
7635.mv _nf75 3 Extend Retract Stop
7636.names _nf75
7637Stop
7638# RAExtendLoadArm  == 2
7639.names RAExtendLoadArm$_nf3b$raw_nf70 _nf75 _nf74
7640.def 0
7641- =RAExtendLoadArm$_nf3b$raw_nf70 1
7642.mv _nf77 3 CWise Stop CCWise
7643.names _nf77
7644Stop
7645# RARotaryMotor  == 1
7646.names RARotaryMotor$_nf3b$raw_nf6f _nf77 _nf76
7647.def 0
7648- =RARotaryMotor$_nf3b$raw_nf6f 1
7649# RAExtendLoadArm  == 2 && RARotaryMotor  == 1
7650.names _nf74 _nf76 _nf78
7651.def 0
76521 1 1
7653.mv _nf7a 3 Extend Retract Stop
7654.names _nf7a
7655Stop
7656# RAExtendUnLoadArm  == 2
7657.names RAExtendUnLoadArm$_nf3b$raw_nf6e _nf7a _nf79
7658.def 0
7659- =RAExtendUnLoadArm$_nf3b$raw_nf6e 1
7660# RAExtendLoadArm  == 2 && RARotaryMotor  == 1 && RAExtendUnLoadArm  == 2
7661.names _nf78 _nf79 _nf7b
7662.def 0
76631 1 1
7664.mv _nf7d 2 Y N
7665.names _nf7d
7666Y
7667# RALoadArmRetracted  == 0
7668.names RALoadArmRetracted _nf7d _nf7c
7669.def 0
7670- =RALoadArmRetracted 1
7671# RAExtendLoadArm  == 2 && RARotaryMotor  == 1 && RAExtendUnLoadArm  == 2 && RALoadArmRetracted  == 0
7672.names _nf7b _nf7c _nf7e
7673.def 0
76741 1 1
7675.names _nf7e _nf7f
7676- =_nf7e
7677.mv _nf81 2 Y N
7678.names _nf81
7679Y
7680# PressReadyToBeLoaded  == 0
7681.names PressReadyToBeLoaded _nf81 _nf80
7682.def 0
7683- =PressReadyToBeLoaded 1
7684.names _nf80 _nf82
7685- =_nf80
7686# RAExtendLoadArm  = 0
7687.mv RAExtendLoadArm$_nf80_nf83$true 3 Extend Retract Stop
7688.names RAExtendLoadArm$_nf80_nf83$true
7689Extend
7690# if/else (PressReadyToBeLoaded  == 0)
7691.mv RAExtendLoadArm$_nf80$raw_nf86 3 Extend Retract Stop
7692.names RAExtendLoadArm$_nf80_nf83$true RAExtendLoadArm$_nf3b$raw_nf70 _nf80 RAExtendLoadArm$_nf80$raw_nf86
7693- - 0 =RAExtendLoadArm$_nf3b$raw_nf70
7694- - 1 =RAExtendLoadArm$_nf80_nf83$true
7695# if/else (RAExtendLoadArm  == 2 && RARotaryMotor  == 1 && RAExtendUnLoadArm  == 2 && RALoadArmRetracted  == 0)
7696.mv RAExtendLoadArm$_nf7e$raw_nf92 3 Extend Retract Stop
7697.names RAExtendLoadArm$_nf80$raw_nf86 RAExtendLoadArm$_nf3b$raw_nf70 _nf7e RAExtendLoadArm$_nf7e$raw_nf92
7698- - 0 =RAExtendLoadArm$_nf3b$raw_nf70
7699- - 1 =RAExtendLoadArm$_nf80$raw_nf86
7700.mv _nf9d 3 Extend Retract Stop
7701.names _nf9d
7702Extend
7703# RAExtendLoadArm  == 0
7704.names RAExtendLoadArm$_nf7e$raw_nf92 _nf9d _nf9c
7705.def 0
7706- =RAExtendLoadArm$_nf7e$raw_nf92 1
7707.mv _nf9f 2 Y N
7708.names _nf9f
7709N
7710# RALoadArmExtended  == 1
7711.names RALoadArmExtended _nf9f _nf9e
7712.def 0
7713- =RALoadArmExtended 1
7714# RAExtendLoadArm  == 0 && RALoadArmExtended  == 1
7715.names _nf9c _nf9e _nfa0
7716.def 0
77171 1 1
7718.mv _nfa2 2 Y N
7719.names _nfa2
7720N
7721# RALoadArmRetracted  == 1
7722.names RALoadArmRetracted _nfa2 _nfa1
7723.def 0
7724- =RALoadArmRetracted 1
7725# RAExtendLoadArm  == 0 && RALoadArmExtended  == 1 && RALoadArmRetracted  == 1
7726.names _nfa0 _nfa1 _nfa3
7727.def 0
77281 1 1
7729.names _nfa3 _nfa4
7730- =_nfa3
7731# RAExtendLoadArm  = 1
7732.mv RAExtendLoadArm$_nfa3_nfa5$true 3 Extend Retract Stop
7733.names RAExtendLoadArm$_nfa3_nfa5$true
7734Retract
7735# ArmLoadedPress  = 0
7736.mv ArmLoadedPress$_nfa3_nfa6$true 2 Y N
7737.names ArmLoadedPress$_nfa3_nfa6$true
7738Y
7739# LoadArmLoaded  = 1
7740.mv LoadArmLoaded$_nfa3_nfa7$true 2 Y N
7741.names LoadArmLoaded$_nfa3_nfa7$true
7742N
7743# if/else (RAExtendLoadArm  == 0 && RALoadArmExtended  == 1 && RALoadArmRetracted  == 1)
7744.mv RAExtendLoadArm$_nfa3$raw_nfab 3 Extend Retract Stop
7745.names RAExtendLoadArm$_nfa3_nfa5$true RAExtendLoadArm$_nf7e$raw_nf92 _nfa3 RAExtendLoadArm$_nfa3$raw_nfab
7746- - 0 =RAExtendLoadArm$_nf7e$raw_nf92
7747- - 1 =RAExtendLoadArm$_nfa3_nfa5$true
7748.mv ArmLoadedPress$_nfa3$raw_nfb2 2 Y N
7749.names ArmLoadedPress$_nfa3_nfa6$true ArmLoadedPress _nfa3 ArmLoadedPress$_nfa3$raw_nfb2
7750- - 0 =ArmLoadedPress
7751- - 1 =ArmLoadedPress$_nfa3_nfa6$true
7752.mv LoadArmLoaded$_nfa3$raw_nfb6 2 Y N
7753.names LoadArmLoaded$_nfa3_nfa7$true LoadArmLoaded _nfa3 LoadArmLoaded$_nfa3$raw_nfb6
7754- - 0 =LoadArmLoaded
7755- - 1 =LoadArmLoaded$_nfa3_nfa7$true
7756# if/else (RAArmOverLoadedPress  == 0)
7757.mv RAExtendLoadArm$_nf71$raw_nfbd 3 Extend Retract Stop
7758.names RAExtendLoadArm$_nfa3$raw_nfab RAExtendLoadArm$_nf3b$raw_nf70 _nf71 RAExtendLoadArm$_nf71$raw_nfbd
7759- - 0 =RAExtendLoadArm$_nf3b$raw_nf70
7760- - 1 =RAExtendLoadArm$_nfa3$raw_nfab
7761.mv ArmLoadedPress$_nf71$raw_nfc4 2 Y N
7762.names ArmLoadedPress$_nfa3$raw_nfb2 ArmLoadedPress _nf71 ArmLoadedPress$_nf71$raw_nfc4
7763- - 0 =ArmLoadedPress
7764- - 1 =ArmLoadedPress$_nfa3$raw_nfb2
7765.mv LoadArmLoaded$_nf71$raw_nfc8 2 Y N
7766.names LoadArmLoaded$_nfa3$raw_nfb6 LoadArmLoaded _nf71 LoadArmLoaded$_nf71$raw_nfc8
7767- - 0 =LoadArmLoaded
7768- - 1 =LoadArmLoaded$_nfa3$raw_nfb6
7769.mv _nfcd 2 Y N
7770.names _nfcd
7771Y
7772# RAArmOverUnLoadedPress  == 0
7773.names RAArmOverUnLoadedPress _nfcd _nfcc
7774.def 0
7775- =RAArmOverUnLoadedPress 1
7776.names _nfcc _nfce
7777- =_nfcc
7778.mv _nfd0 3 Extend Retract Stop
7779.names _nfd0
7780Extend
7781# RAExtendUnLoadArm  == 0
7782.names RAExtendUnLoadArm$_nf3b$raw_nf6e _nfd0 _nfcf
7783.def 0
7784- =RAExtendUnLoadArm$_nf3b$raw_nf6e 1
7785.mv _nfd2 2 Y N
7786.names _nfd2
7787N
7788# RAUnLoadArmExtended  == 1
7789.names RAUnLoadArmExtended _nfd2 _nfd1
7790.def 0
7791- =RAUnLoadArmExtended 1
7792# RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1
7793.names _nfcf _nfd1 _nfd3
7794.def 0
77951 1 1
7796.mv _nfd5 2 Y N
7797.names _nfd5
7798N
7799# RAUnLoadArmRetracted  == 1
7800.names RAUnLoadArmRetracted _nfd5 _nfd4
7801.def 0
7802- =RAUnLoadArmRetracted 1
7803# RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1
7804.names _nfd3 _nfd4 _nfd6
7805.def 0
78061 1 1
7807.names _nfd6 _nfd7
7808- =_nfd6
7809.mv _nfd9 2 Y N
7810.names _nfd9
7811Y
7812# PressReadyToBeUnLoaded  == 0
7813.names PressReadyToBeUnLoaded _nfd9 _nfd8
7814.def 0
7815- =PressReadyToBeUnLoaded 1
7816.names _nfd8 _nfda
7817- =_nfd8
7818# ArmUnLoadedPress  = 0
7819.mv ArmUnLoadedPress$_nfd8_nfdb$true 2 Y N
7820.names ArmUnLoadedPress$_nfd8_nfdb$true
7821Y
7822# UnLoadArmLoaded  = 0
7823.mv UnLoadArmLoaded$_nfd8_nfdc$true 2 Y N
7824.names UnLoadArmLoaded$_nfd8_nfdc$true
7825Y
7826# RAExtendUnLoadArm  = 1
7827.mv RAExtendUnLoadArm$_nfd8_nfdd$true 3 Extend Retract Stop
7828.names RAExtendUnLoadArm$_nfd8_nfdd$true
7829Retract
7830# if/else (PressReadyToBeUnLoaded  == 0)
7831.mv RAExtendUnLoadArm$_nfd8$raw_nfdf 3 Extend Retract Stop
7832.names RAExtendUnLoadArm$_nfd8_nfdd$true RAExtendUnLoadArm$_nf3b$raw_nf6e _nfd8 RAExtendUnLoadArm$_nfd8$raw_nfdf
7833- - 0 =RAExtendUnLoadArm$_nf3b$raw_nf6e
7834- - 1 =RAExtendUnLoadArm$_nfd8_nfdd$true
7835.mv UnLoadArmLoaded$_nfd8$raw_nfec 2 Y N
7836.names UnLoadArmLoaded$_nfd8_nfdc$true UnLoadArmLoaded _nfd8 UnLoadArmLoaded$_nfd8$raw_nfec
7837- - 0 =UnLoadArmLoaded
7838- - 1 =UnLoadArmLoaded$_nfd8_nfdc$true
7839.mv ArmUnLoadedPress$_nfd8$raw_nfef 2 Y N
7840.names ArmUnLoadedPress$_nfd8_nfdb$true ArmUnLoadedPress _nfd8 ArmUnLoadedPress$_nfd8$raw_nfef
7841- - 0 =ArmUnLoadedPress
7842- - 1 =ArmUnLoadedPress$_nfd8_nfdb$true
7843# if/else (RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1)
7844.mv RAExtendUnLoadArm$_nfd6$raw_nffa 3 Extend Retract Stop
7845.names RAExtendUnLoadArm$_nfd8$raw_nfdf RAExtendUnLoadArm$_nf3b$raw_nf6e _nfd6 RAExtendUnLoadArm$_nfd6$raw_nffa
7846- - 0 =RAExtendUnLoadArm$_nf3b$raw_nf6e
7847- - 1 =RAExtendUnLoadArm$_nfd8$raw_nfdf
7848.mv UnLoadArmLoaded$_nfd6$raw_n1006 2 Y N
7849.names UnLoadArmLoaded$_nfd8$raw_nfec UnLoadArmLoaded _nfd6 UnLoadArmLoaded$_nfd6$raw_n1006
7850- - 0 =UnLoadArmLoaded
7851- - 1 =UnLoadArmLoaded$_nfd8$raw_nfec
7852.mv ArmUnLoadedPress$_nfd6$raw_n1009 2 Y N
7853.names ArmUnLoadedPress$_nfd8$raw_nfef ArmUnLoadedPress _nfd6 ArmUnLoadedPress$_nfd6$raw_n1009
7854- - 0 =ArmUnLoadedPress
7855- - 1 =ArmUnLoadedPress$_nfd8$raw_nfef
7856# if/else (RAArmOverUnLoadedPress  == 0)
7857.mv RAExtendUnLoadArm$_nfcc$raw_n1013 3 Extend Retract Stop
7858.names RAExtendUnLoadArm$_nfd6$raw_nffa RAExtendUnLoadArm$_nf3b$raw_nf6e _nfcc RAExtendUnLoadArm$_nfcc$raw_n1013
7859- - 0 =RAExtendUnLoadArm$_nf3b$raw_nf6e
7860- - 1 =RAExtendUnLoadArm$_nfd6$raw_nffa
7861.mv UnLoadArmLoaded$_nfcc$raw_n1020 2 Y N
7862.names UnLoadArmLoaded$_nfd6$raw_n1006 UnLoadArmLoaded _nfcc UnLoadArmLoaded$_nfcc$raw_n1020
7863- - 0 =UnLoadArmLoaded
7864- - 1 =UnLoadArmLoaded$_nfd6$raw_n1006
7865.mv ArmUnLoadedPress$_nfcc$raw_n1023 2 Y N
7866.names ArmUnLoadedPress$_nfd6$raw_n1009 ArmUnLoadedPress _nfcc ArmUnLoadedPress$_nfcc$raw_n1023
7867- - 0 =ArmUnLoadedPress
7868- - 1 =ArmUnLoadedPress$_nfd6$raw_n1009
7869.mv _n102d 2 Y N
7870.names _n102d
7871Y
7872# RAArmOverDB  == 0
7873.names RAArmOverDB _n102d _n102c
7874.def 0
7875- =RAArmOverDB 1
7876.names _n102c _n102e
7877- =_n102c
7878.mv _n1030 3 CWise Stop CCWise
7879.names _n1030
7880CCWise
7881# RARotaryMotor  == 2
7882.names RARotaryMotor$_nf3b$raw_nf6f _n1030 _n102f
7883.def 0
7884- =RARotaryMotor$_nf3b$raw_nf6f 1
7885.names _n102f _n1031
7886- =_n102f
7887# RARotaryMotor  = 1
7888.mv RARotaryMotor$_n102f_n1032$true 3 CWise Stop CCWise
7889.names RARotaryMotor$_n102f_n1032$true
7890Stop
7891.mv _n1034 2 Y N
7892.names _n1034
7893Y
7894# PressReadyToBeLoaded  == 0
7895.names PressReadyToBeLoaded _n1034 _n1033
7896.def 0
7897- =PressReadyToBeLoaded 1
7898.names _n1033 _n1035
7899- =_n1033
7900# RAExtendLoadArm  = 0
7901.mv RAExtendLoadArm$_n1033_n1036$true 3 Extend Retract Stop
7902.names RAExtendLoadArm$_n1033_n1036$true
7903Extend
7904# if/else (PressReadyToBeLoaded  == 0)
7905.mv RAExtendLoadArm$_n1033$raw_n103c 3 Extend Retract Stop
7906.names RAExtendLoadArm$_n1033_n1036$true RAExtendLoadArm$_nf71$raw_nfbd _n1033 RAExtendLoadArm$_n1033$raw_n103c
7907- - 0 =RAExtendLoadArm$_nf71$raw_nfbd
7908- - 1 =RAExtendLoadArm$_n1033_n1036$true
7909# if/else (RARotaryMotor  == 2)
7910.mv RARotaryMotor$_n102f$raw_n1057 3 CWise Stop CCWise
7911.names RARotaryMotor$_n102f_n1032$true RARotaryMotor$_nf3b$raw_nf6f _n102f RARotaryMotor$_n102f$raw_n1057
7912- - 0 =RARotaryMotor$_nf3b$raw_nf6f
7913- - 1 =RARotaryMotor$_n102f_n1032$true
7914.mv RAExtendLoadArm$_n102f$raw_n1058 3 Extend Retract Stop
7915.names RAExtendLoadArm$_n1033$raw_n103c RAExtendLoadArm$_nf71$raw_nfbd _n102f RAExtendLoadArm$_n102f$raw_n1058
7916- - 0 =RAExtendLoadArm$_nf71$raw_nfbd
7917- - 1 =RAExtendLoadArm$_n1033$raw_n103c
7918.mv _n1070 3 Extend Retract Stop
7919.names _n1070
7920Retract
7921# RAExtendUnLoadArm  == 1
7922.names RAExtendUnLoadArm$_nfcc$raw_n1013 _n1070 _n106f
7923.def 0
7924- =RAExtendUnLoadArm$_nfcc$raw_n1013 1
7925.mv _n1072 2 Y N
7926.names _n1072
7927N
7928# RAUnLoadArmExtended  == 1
7929.names RAUnLoadArmExtended _n1072 _n1071
7930.def 0
7931- =RAUnLoadArmExtended 1
7932# RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1
7933.names _n106f _n1071 _n1073
7934.def 0
79351 1 1
7936.mv _n1075 2 Y N
7937.names _n1075
7938N
7939# RAUnLoadArmRetracted  == 1
7940.names RAUnLoadArmRetracted _n1075 _n1074
7941.def 0
7942- =RAUnLoadArmRetracted 1
7943# RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1
7944.names _n1073 _n1074 _n1076
7945.def 0
79461 1 1
7947.names _n1076 _n1077
7948- =_n1076
7949# RAExtendUnLoadArm  = 2
7950.mv RAExtendUnLoadArm$_n1076_n1078$true 3 Extend Retract Stop
7951.names RAExtendUnLoadArm$_n1076_n1078$true
7952Stop
7953# RARotaryMotor  = 2
7954.mv RARotaryMotor$_n1076_n1079$true 3 CWise Stop CCWise
7955.names RARotaryMotor$_n1076_n1079$true
7956CCWise
7957# if/else (RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1)
7958.mv RAExtendUnLoadArm$_n1076$raw_n107c 3 Extend Retract Stop
7959.names RAExtendUnLoadArm$_n1076_n1078$true RAExtendUnLoadArm$_nfcc$raw_n1013 _n1076 RAExtendUnLoadArm$_n1076$raw_n107c
7960- - 0 =RAExtendUnLoadArm$_nfcc$raw_n1013
7961- - 1 =RAExtendUnLoadArm$_n1076_n1078$true
7962.mv RARotaryMotor$_n1076$raw_n107e 3 CWise Stop CCWise
7963.names RARotaryMotor$_n1076_n1079$true RARotaryMotor$_n102f$raw_n1057 _n1076 RARotaryMotor$_n1076$raw_n107e
7964- - 0 =RARotaryMotor$_n102f$raw_n1057
7965- - 1 =RARotaryMotor$_n1076_n1079$true
7966# if/else (RAArmOverDB  == 0)
7967.mv RAExtendUnLoadArm$_n102c$raw_n1096 3 Extend Retract Stop
7968.names RAExtendUnLoadArm$_n1076$raw_n107c RAExtendUnLoadArm$_nfcc$raw_n1013 _n102c RAExtendUnLoadArm$_n102c$raw_n1096
7969- - 0 =RAExtendUnLoadArm$_nfcc$raw_n1013
7970- - 1 =RAExtendUnLoadArm$_n1076$raw_n107c
7971.mv RARotaryMotor$_n102c$raw_n1099 3 CWise Stop CCWise
7972.names RARotaryMotor$_n1076$raw_n107e RARotaryMotor$_nf3b$raw_nf6f _n102c RARotaryMotor$_n102c$raw_n1099
7973- - 0 =RARotaryMotor$_nf3b$raw_nf6f
7974- - 1 =RARotaryMotor$_n1076$raw_n107e
7975.mv RAExtendLoadArm$_n102c$raw_n109b 3 Extend Retract Stop
7976.names RAExtendLoadArm$_n102f$raw_n1058 RAExtendLoadArm$_nf71$raw_nfbd _n102c RAExtendLoadArm$_n102c$raw_n109b
7977- - 0 =RAExtendLoadArm$_nf71$raw_nfbd
7978- - 1 =RAExtendLoadArm$_n102f$raw_n1058
7979.mv _n10b3 2 Y N
7980.names _n10b3
7981Y
7982# LoadArmLoaded  == 0
7983.names LoadArmLoaded _n10b3 _n10b2
7984.def 0
7985- =LoadArmLoaded 1
7986.mv _n10b5 2 Y N
7987.names _n10b5
7988Y
7989# UnLoadArmLoaded  == 0
7990.names UnLoadArmLoaded _n10b5 _n10b4
7991.def 0
7992- =UnLoadArmLoaded 1
7993# LoadArmLoaded  == 0 && UnLoadArmLoaded  == 0
7994.names _n10b2 _n10b4 _n10b6
7995.def 0
79961 1 1
7997.names _n10b6 _n10b7
7998- =_n10b6
7999.mv _n10b9 2 Y N
8000.names _n10b9
8001Y
8002# RAArmOverUnLoadedPress  == 0
8003.names RAArmOverUnLoadedPress _n10b9 _n10b8
8004.def 0
8005- =RAArmOverUnLoadedPress 1
8006.names _n10b8 _n10ba
8007- =_n10b8
8008.mv _n10bc 3 CWise Stop CCWise
8009.names _n10bc
8010CCWise
8011# RARotaryMotor  == 2
8012.names RARotaryMotor _n10bc _n10bb
8013.def 0
8014- =RARotaryMotor 1
8015.names _n10bb _n10bd
8016- =_n10bb
8017# RARotaryMotor  = 1
8018.mv RARotaryMotor$_n10bb_n10be$true 3 CWise Stop CCWise
8019.names RARotaryMotor$_n10bb_n10be$true
8020Stop
8021# RAExtendUnLoadArm  = 0
8022.mv RAExtendUnLoadArm$_n10bb_n10bf$true 3 Extend Retract Stop
8023.names RAExtendUnLoadArm$_n10bb_n10bf$true
8024Extend
8025# if/else (RARotaryMotor  == 2)
8026.mv RAExtendUnLoadArm$_n10bb$raw_n10c4 3 Extend Retract Stop
8027.names RAExtendUnLoadArm$_n10bb_n10bf$true RAExtendUnLoadArm _n10bb RAExtendUnLoadArm$_n10bb$raw_n10c4
8028- - 0 =RAExtendUnLoadArm
8029- - 1 =RAExtendUnLoadArm$_n10bb_n10bf$true
8030.mv RARotaryMotor$_n10bb$raw_n10c5 3 CWise Stop CCWise
8031.names RARotaryMotor$_n10bb_n10be$true RARotaryMotor _n10bb RARotaryMotor$_n10bb$raw_n10c5
8032- - 0 =RARotaryMotor
8033- - 1 =RARotaryMotor$_n10bb_n10be$true
8034.mv _n10c7 3 Extend Retract Stop
8035.names _n10c7
8036Retract
8037# RAExtendUnLoadArm  == 1
8038.names RAExtendUnLoadArm$_n10bb$raw_n10c4 _n10c7 _n10c6
8039.def 0
8040- =RAExtendUnLoadArm$_n10bb$raw_n10c4 1
8041.mv _n10c9 2 Y N
8042.names _n10c9
8043N
8044# RAUnLoadArmExtended  == 1
8045.names RAUnLoadArmExtended _n10c9 _n10c8
8046.def 0
8047- =RAUnLoadArmExtended 1
8048# RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1
8049.names _n10c6 _n10c8 _n10ca
8050.def 0
80511 1 1
8052.mv _n10cc 2 Y N
8053.names _n10cc
8054N
8055# RAUnLoadArmRetracted  == 1
8056.names RAUnLoadArmRetracted _n10cc _n10cb
8057.def 0
8058- =RAUnLoadArmRetracted 1
8059# RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1
8060.names _n10ca _n10cb _n10cd
8061.def 0
80621 1 1
8063.names _n10cd _n10ce
8064- =_n10cd
8065# RAExtendUnLoadArm  = 2
8066.mv RAExtendUnLoadArm$_n10cd_n10cf$true 3 Extend Retract Stop
8067.names RAExtendUnLoadArm$_n10cd_n10cf$true
8068Stop
8069# RARotaryMotor  = 2
8070.mv RARotaryMotor$_n10cd_n10d0$true 3 CWise Stop CCWise
8071.names RARotaryMotor$_n10cd_n10d0$true
8072CCWise
8073# if/else (RAExtendUnLoadArm  == 1 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1)
8074.mv RAExtendUnLoadArm$_n10cd$raw_n10d1 3 Extend Retract Stop
8075.names RAExtendUnLoadArm$_n10cd_n10cf$true RAExtendUnLoadArm$_n10bb$raw_n10c4 _n10cd RAExtendUnLoadArm$_n10cd$raw_n10d1
8076- - 0 =RAExtendUnLoadArm$_n10bb$raw_n10c4
8077- - 1 =RAExtendUnLoadArm$_n10cd_n10cf$true
8078.mv RARotaryMotor$_n10cd$raw_n10d2 3 CWise Stop CCWise
8079.names RARotaryMotor$_n10cd_n10d0$true RARotaryMotor$_n10bb$raw_n10c5 _n10cd RARotaryMotor$_n10cd$raw_n10d2
8080- - 0 =RARotaryMotor$_n10bb$raw_n10c5
8081- - 1 =RARotaryMotor$_n10cd_n10d0$true
8082# if/else (RAArmOverUnLoadedPress  == 0)
8083.mv RAExtendUnLoadArm$_n10b8$raw_n10dd 3 Extend Retract Stop
8084.names RAExtendUnLoadArm$_n10cd$raw_n10d1 RAExtendUnLoadArm _n10b8 RAExtendUnLoadArm$_n10b8$raw_n10dd
8085- - 0 =RAExtendUnLoadArm
8086- - 1 =RAExtendUnLoadArm$_n10cd$raw_n10d1
8087.mv RARotaryMotor$_n10b8$raw_n10de 3 CWise Stop CCWise
8088.names RARotaryMotor$_n10cd$raw_n10d2 RARotaryMotor _n10b8 RARotaryMotor$_n10b8$raw_n10de
8089- - 0 =RARotaryMotor
8090- - 1 =RARotaryMotor$_n10cd$raw_n10d2
8091.mv _n10e0 2 Y N
8092.names _n10e0
8093Y
8094# RAArmOverDB  == 0
8095.names RAArmOverDB _n10e0 _n10df
8096.def 0
8097- =RAArmOverDB 1
8098.names _n10df _n10e1
8099- =_n10df
8100.mv _n10e3 3 Extend Retract Stop
8101.names _n10e3
8102Extend
8103# RAExtendUnLoadArm  == 0
8104.names RAExtendUnLoadArm$_n10b8$raw_n10dd _n10e3 _n10e2
8105.def 0
8106- =RAExtendUnLoadArm$_n10b8$raw_n10dd 1
8107.mv _n10e5 2 Y N
8108.names _n10e5
8109N
8110# RAUnLoadArmExtended  == 1
8111.names RAUnLoadArmExtended _n10e5 _n10e4
8112.def 0
8113- =RAUnLoadArmExtended 1
8114# RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1
8115.names _n10e2 _n10e4 _n10e6
8116.def 0
81171 1 1
8118.mv _n10e8 2 Y N
8119.names _n10e8
8120N
8121# RAUnLoadArmRetracted  == 1
8122.names RAUnLoadArmRetracted _n10e8 _n10e7
8123.def 0
8124- =RAUnLoadArmRetracted 1
8125# RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1
8126.names _n10e6 _n10e7 _n10e9
8127.def 0
81281 1 1
8129.names _n10e9 _n10ea
8130- =_n10e9
8131# RAExtendUnLoadArm  = 2
8132.mv RAExtendUnLoadArm$_n10e9_n10eb$true 3 Extend Retract Stop
8133.names RAExtendUnLoadArm$_n10e9_n10eb$true
8134Stop
8135.mv _n10ed 2 Y N
8136.names _n10ed
8137Y
8138# DBReady  == 0
8139.names DBReady _n10ed _n10ec
8140.def 0
8141- =DBReady 1
8142.names _n10ec _n10ee
8143- =_n10ec
8144# RAExtendUnLoadArm  = 1
8145.mv RAExtendUnLoadArm$_n10ec_n10ef$true 3 Extend Retract Stop
8146.names RAExtendUnLoadArm$_n10ec_n10ef$true
8147Retract
8148# UnLoadArmLoaded  = 1
8149.mv UnLoadArmLoaded$_n10ec_n10f0$true 2 Y N
8150.names UnLoadArmLoaded$_n10ec_n10f0$true
8151N
8152# PieceOutArm  = 0
8153.mv PieceOutArm$_n10ec_n10f1$true 2 Y N
8154.names PieceOutArm$_n10ec_n10f1$true
8155Y
8156# if/else (DBReady  == 0)
8157.mv RAExtendUnLoadArm$_n10ec$raw_n10f3 3 Extend Retract Stop
8158.names RAExtendUnLoadArm$_n10ec_n10ef$true RAExtendUnLoadArm$_n10e9_n10eb$true _n10ec RAExtendUnLoadArm$_n10ec$raw_n10f3
8159- - 0 =RAExtendUnLoadArm$_n10e9_n10eb$true
8160- - 1 =RAExtendUnLoadArm$_n10ec_n10ef$true
8161.mv UnLoadArmLoaded$_n10ec$raw_n10fa 2 Y N
8162.names UnLoadArmLoaded$_n10ec_n10f0$true UnLoadArmLoaded _n10ec UnLoadArmLoaded$_n10ec$raw_n10fa
8163- - 0 =UnLoadArmLoaded
8164- - 1 =UnLoadArmLoaded$_n10ec_n10f0$true
8165.mv PieceOutArm$_n10ec$raw_n10fd 2 Y N
8166.names PieceOutArm$_n10ec_n10f1$true PieceOutArm _n10ec PieceOutArm$_n10ec$raw_n10fd
8167- - 0 =PieceOutArm
8168- - 1 =PieceOutArm$_n10ec_n10f1$true
8169# if/else (RAExtendUnLoadArm  == 0 && RAUnLoadArmExtended  == 1 && RAUnLoadArmRetracted  == 1)
8170.mv RAExtendUnLoadArm$_n10e9$raw_n1101 3 Extend Retract Stop
8171.names RAExtendUnLoadArm$_n10ec$raw_n10f3 RAExtendUnLoadArm$_n10b8$raw_n10dd _n10e9 RAExtendUnLoadArm$_n10e9$raw_n1101
8172- - 0 =RAExtendUnLoadArm$_n10b8$raw_n10dd
8173- - 1 =RAExtendUnLoadArm$_n10ec$raw_n10f3
8174.mv UnLoadArmLoaded$_n10e9$raw_n1108 2 Y N
8175.names UnLoadArmLoaded$_n10ec$raw_n10fa UnLoadArmLoaded _n10e9 UnLoadArmLoaded$_n10e9$raw_n1108
8176- - 0 =UnLoadArmLoaded
8177- - 1 =UnLoadArmLoaded$_n10ec$raw_n10fa
8178.mv PieceOutArm$_n10e9$raw_n110b 2 Y N
8179.names PieceOutArm$_n10ec$raw_n10fd PieceOutArm _n10e9 PieceOutArm$_n10e9$raw_n110b
8180- - 0 =PieceOutArm
8181- - 1 =PieceOutArm$_n10ec$raw_n10fd
8182.mv _n110f 3 Extend Retract Stop
8183.names _n110f
8184Stop
8185# RAExtendUnLoadArm  == 2
8186.names RAExtendUnLoadArm$_n10e9$raw_n1101 _n110f _n110e
8187.def 0
8188- =RAExtendUnLoadArm$_n10e9$raw_n1101 1
8189.mv _n1111 2 Y N
8190.names _n1111
8191Y
8192# RAUnLoadArmExtended  == 0
8193.names RAUnLoadArmExtended _n1111 _n1110
8194.def 0
8195- =RAUnLoadArmExtended 1
8196# RAExtendUnLoadArm  == 2 && RAUnLoadArmExtended  == 0
8197.names _n110e _n1110 _n1112
8198.def 0
81991 1 1
8200.names _n1112 _n1113
8201- =_n1112
8202.mv _n1115 2 Y N
8203.names _n1115
8204Y
8205# DBReady  == 0
8206.names DBReady _n1115 _n1114
8207.def 0
8208- =DBReady 1
8209.names _n1114 _n1116
8210- =_n1114
8211# RAExtendUnLoadArm  = 1
8212.mv RAExtendUnLoadArm$_n1114_n1117$true 3 Extend Retract Stop
8213.names RAExtendUnLoadArm$_n1114_n1117$true
8214Retract
8215# UnLoadArmLoaded  = 1
8216.mv UnLoadArmLoaded$_n1114_n1118$true 2 Y N
8217.names UnLoadArmLoaded$_n1114_n1118$true
8218N
8219# PieceOutArm  = 0
8220.mv PieceOutArm$_n1114_n1119$true 2 Y N
8221.names PieceOutArm$_n1114_n1119$true
8222Y
8223# if/else (DBReady  == 0)
8224.mv UnLoadArmLoaded$_n1114$raw_n111a 2 Y N
8225.names UnLoadArmLoaded$_n1114_n1118$true UnLoadArmLoaded$_n10e9$raw_n1108 _n1114 UnLoadArmLoaded$_n1114$raw_n111a
8226- - 0 =UnLoadArmLoaded$_n10e9$raw_n1108
8227- - 1 =UnLoadArmLoaded$_n1114_n1118$true
8228.mv RAExtendUnLoadArm$_n1114$raw_n111b 3 Extend Retract Stop
8229.names RAExtendUnLoadArm$_n1114_n1117$true RAExtendUnLoadArm$_n10e9$raw_n1101 _n1114 RAExtendUnLoadArm$_n1114$raw_n111b
8230- - 0 =RAExtendUnLoadArm$_n10e9$raw_n1101
8231- - 1 =RAExtendUnLoadArm$_n1114_n1117$true
8232.mv PieceOutArm$_n1114$raw_n111d 2 Y N
8233.names PieceOutArm$_n1114_n1119$true PieceOutArm$_n10e9$raw_n110b _n1114 PieceOutArm$_n1114$raw_n111d
8234- - 0 =PieceOutArm$_n10e9$raw_n110b
8235- - 1 =PieceOutArm$_n1114_n1119$true
8236# if/else (RAExtendUnLoadArm  == 2 && RAUnLoadArmExtended  == 0)
8237.mv RAExtendUnLoadArm$_n1112$raw_n112a 3 Extend Retract Stop
8238.names RAExtendUnLoadArm$_n1114$raw_n111b RAExtendUnLoadArm$_n10e9$raw_n1101 _n1112 RAExtendUnLoadArm$_n1112$raw_n112a
8239- - 0 =RAExtendUnLoadArm$_n10e9$raw_n1101
8240- - 1 =RAExtendUnLoadArm$_n1114$raw_n111b
8241.mv UnLoadArmLoaded$_n1112$raw_n112b 2 Y N
8242.names UnLoadArmLoaded$_n1114$raw_n111a UnLoadArmLoaded$_n10e9$raw_n1108 _n1112 UnLoadArmLoaded$_n1112$raw_n112b
8243- - 0 =UnLoadArmLoaded$_n10e9$raw_n1108
8244- - 1 =UnLoadArmLoaded$_n1114$raw_n111a
8245.mv PieceOutArm$_n1112$raw_n112d 2 Y N
8246.names PieceOutArm$_n1114$raw_n111d PieceOutArm$_n10e9$raw_n110b _n1112 PieceOutArm$_n1112$raw_n112d
8247- - 0 =PieceOutArm$_n10e9$raw_n110b
8248- - 1 =PieceOutArm$_n1114$raw_n111d
8249# if/else (RAArmOverDB  == 0)
8250.mv RAExtendUnLoadArm$_n10df$raw_n113b 3 Extend Retract Stop
8251.names RAExtendUnLoadArm$_n1112$raw_n112a RAExtendUnLoadArm$_n10b8$raw_n10dd _n10df RAExtendUnLoadArm$_n10df$raw_n113b
8252- - 0 =RAExtendUnLoadArm$_n10b8$raw_n10dd
8253- - 1 =RAExtendUnLoadArm$_n1112$raw_n112a
8254.mv UnLoadArmLoaded$_n10df$raw_n1142 2 Y N
8255.names UnLoadArmLoaded$_n1112$raw_n112b UnLoadArmLoaded _n10df UnLoadArmLoaded$_n10df$raw_n1142
8256- - 0 =UnLoadArmLoaded
8257- - 1 =UnLoadArmLoaded$_n1112$raw_n112b
8258.mv PieceOutArm$_n10df$raw_n1145 2 Y N
8259.names PieceOutArm$_n1112$raw_n112d PieceOutArm _n10df PieceOutArm$_n10df$raw_n1145
8260- - 0 =PieceOutArm
8261- - 1 =PieceOutArm$_n1112$raw_n112d
8262# if/else (LoadArmLoaded  == 0 && UnLoadArmLoaded  == 0)
8263.mv UnLoadArmLoaded$_n10b6$raw_n1150 2 Y N
8264.names UnLoadArmLoaded$_n10df$raw_n1142 UnLoadArmLoaded _n10b6 UnLoadArmLoaded$_n10b6$raw_n1150
8265- - 0 =UnLoadArmLoaded
8266- - 1 =UnLoadArmLoaded$_n10df$raw_n1142
8267.mv RAExtendUnLoadArm$_n10b6$raw_n1151 3 Extend Retract Stop
8268.names RAExtendUnLoadArm$_n10df$raw_n113b RAExtendUnLoadArm _n10b6 RAExtendUnLoadArm$_n10b6$raw_n1151
8269- - 0 =RAExtendUnLoadArm
8270- - 1 =RAExtendUnLoadArm$_n10df$raw_n113b
8271.mv RARotaryMotor$_n10b6$raw_n1152 3 CWise Stop CCWise
8272.names RARotaryMotor$_n10b8$raw_n10de RARotaryMotor _n10b6 RARotaryMotor$_n10b6$raw_n1152
8273- - 0 =RARotaryMotor
8274- - 1 =RARotaryMotor$_n10b8$raw_n10de
8275.mv PieceOutArm$_n10b6$raw_n1153 2 Y N
8276.names PieceOutArm$_n10df$raw_n1145 PieceOutArm _n10b6 PieceOutArm$_n10b6$raw_n1153
8277- - 0 =PieceOutArm
8278- - 1 =PieceOutArm$_n10df$raw_n1145
8279# if/else (LoadArmLoaded  == 0 && UnLoadArmLoaded  == 1)
8280.mv UnLoadArmLoaded$_nf39$raw_n115b 2 Y N
8281.names UnLoadArmLoaded$_nfcc$raw_n1020 UnLoadArmLoaded$_n10b6$raw_n1150 _nf39 UnLoadArmLoaded$_nf39$raw_n115b
8282- - 0 =UnLoadArmLoaded$_n10b6$raw_n1150
8283- - 1 =UnLoadArmLoaded$_nfcc$raw_n1020
8284.mv RAExtendUnLoadArm$_nf39$raw_n115d 3 Extend Retract Stop
8285.names RAExtendUnLoadArm$_n102c$raw_n1096 RAExtendUnLoadArm$_n10b6$raw_n1151 _nf39 RAExtendUnLoadArm$_nf39$raw_n115d
8286- - 0 =RAExtendUnLoadArm$_n10b6$raw_n1151
8287- - 1 =RAExtendUnLoadArm$_n102c$raw_n1096
8288.mv RARotaryMotor$_nf39$raw_n115f 3 CWise Stop CCWise
8289.names RARotaryMotor$_n102c$raw_n1099 RARotaryMotor$_n10b6$raw_n1152 _nf39 RARotaryMotor$_nf39$raw_n115f
8290- - 0 =RARotaryMotor$_n10b6$raw_n1152
8291- - 1 =RARotaryMotor$_n102c$raw_n1099
8292.mv ArmLoadedPress$_nf39$raw_n1163 2 Y N
8293.names ArmLoadedPress$_nf71$raw_nfc4 ArmLoadedPress _nf39 ArmLoadedPress$_nf39$raw_n1163
8294- - 0 =ArmLoadedPress
8295- - 1 =ArmLoadedPress$_nf71$raw_nfc4
8296.mv ArmUnLoadedPress$_nf39$raw_n1165 2 Y N
8297.names ArmUnLoadedPress$_nfcc$raw_n1023 ArmUnLoadedPress _nf39 ArmUnLoadedPress$_nf39$raw_n1165
8298- - 0 =ArmUnLoadedPress
8299- - 1 =ArmUnLoadedPress$_nfcc$raw_n1023
8300.mv RAExtendLoadArm$_nf39$raw_n1167 3 Extend Retract Stop
8301.names RAExtendLoadArm$_n102c$raw_n109b RAExtendLoadArm _nf39 RAExtendLoadArm$_nf39$raw_n1167
8302- - 0 =RAExtendLoadArm
8303- - 1 =RAExtendLoadArm$_n102c$raw_n109b
8304.mv LoadArmLoaded$_nf39$raw_n1168 2 Y N
8305.names LoadArmLoaded$_nf71$raw_nfc8 LoadArmLoaded _nf39 LoadArmLoaded$_nf39$raw_n1168
8306- - 0 =LoadArmLoaded
8307- - 1 =LoadArmLoaded$_nf71$raw_nfc8
8308.mv PieceOutArm$_nf39$raw_n116c 2 Y N
8309.names PieceOutArm PieceOutArm$_n10b6$raw_n1153 _nf39 PieceOutArm$_nf39$raw_n116c
8310- - 0 =PieceOutArm$_n10b6$raw_n1153
8311- - 1 =PieceOutArm
8312# if/else (LoadArmLoaded  == 1 && UnLoadArmLoaded  == 0)
8313.mv UnLoadArmLoaded$_neb3$raw_n1171 2 Y N
8314.names UnLoadArmLoaded$_nedc$raw_nf2f UnLoadArmLoaded$_nf39$raw_n115b _neb3 UnLoadArmLoaded$_neb3$raw_n1171
8315- - 0 =UnLoadArmLoaded$_nf39$raw_n115b
8316- - 1 =UnLoadArmLoaded$_nedc$raw_nf2f
8317.mv RAExtendUnLoadArm$_neb3$raw_n1172 3 Extend Retract Stop
8318.names RAExtendUnLoadArm$_nedc$raw_nf28 RAExtendUnLoadArm$_nf39$raw_n115d _neb3 RAExtendUnLoadArm$_neb3$raw_n1172
8319- - 0 =RAExtendUnLoadArm$_nf39$raw_n115d
8320- - 1 =RAExtendUnLoadArm$_nedc$raw_nf28
8321.mv RARotaryMotor$_neb3$raw_n1173 3 CWise Stop CCWise
8322.names RARotaryMotor$_neb5$raw_nedb RARotaryMotor$_nf39$raw_n115f _neb3 RARotaryMotor$_neb3$raw_n1173
8323- - 0 =RARotaryMotor$_nf39$raw_n115f
8324- - 1 =RARotaryMotor$_neb5$raw_nedb
8325.mv PieceOutArm$_neb3$raw_n1174 2 Y N
8326.names PieceOutArm$_nedc$raw_nf32 PieceOutArm$_nf39$raw_n116c _neb3 PieceOutArm$_neb3$raw_n1174
8327- - 0 =PieceOutArm$_nf39$raw_n116c
8328- - 1 =PieceOutArm$_nedc$raw_nf32
8329.mv ArmLoadedPress$_neb3$raw_n1179 2 Y N
8330.names ArmLoadedPress ArmLoadedPress$_nf39$raw_n1163 _neb3 ArmLoadedPress$_neb3$raw_n1179
8331- - 0 =ArmLoadedPress$_nf39$raw_n1163
8332- - 1 =ArmLoadedPress
8333.mv ArmUnLoadedPress$_neb3$raw_n117c 2 Y N
8334.names ArmUnLoadedPress ArmUnLoadedPress$_nf39$raw_n1165 _neb3 ArmUnLoadedPress$_neb3$raw_n117c
8335- - 0 =ArmUnLoadedPress$_nf39$raw_n1165
8336- - 1 =ArmUnLoadedPress
8337.mv RAExtendLoadArm$_neb3$raw_n117e 3 Extend Retract Stop
8338.names RAExtendLoadArm RAExtendLoadArm$_nf39$raw_n1167 _neb3 RAExtendLoadArm$_neb3$raw_n117e
8339- - 0 =RAExtendLoadArm$_nf39$raw_n1167
8340- - 1 =RAExtendLoadArm
8341.mv LoadArmLoaded$_neb3$raw_n1180 2 Y N
8342.names LoadArmLoaded LoadArmLoaded$_nf39$raw_n1168 _neb3 LoadArmLoaded$_neb3$raw_n1180
8343- - 0 =LoadArmLoaded$_nf39$raw_n1168
8344- - 1 =LoadArmLoaded
8345# if/else (LoadArmLoaded  == 1 && UnLoadArmLoaded  == 1)
8346.mv UnLoadArmLoaded$_nc51$raw_n1188 2 Y N
8347.names UnLoadArmLoaded$_nd32$raw_ndee UnLoadArmLoaded$_neb3$raw_n1171 _nc51 UnLoadArmLoaded$_nc51$raw_n1188
8348- - 0 =UnLoadArmLoaded$_neb3$raw_n1171
8349- - 1 =UnLoadArmLoaded$_nd32$raw_ndee
8350.mv RAExtendUnLoadArm$_nc51$raw_n1189 3 Extend Retract Stop
8351.names RAExtendUnLoadArm$_ndfa$raw_ne93 RAExtendUnLoadArm$_neb3$raw_n1172 _nc51 RAExtendUnLoadArm$_nc51$raw_n1189
8352- - 0 =RAExtendUnLoadArm$_neb3$raw_n1172
8353- - 1 =RAExtendUnLoadArm$_ndfa$raw_ne93
8354.mv ArmUnLoadedPress$_nc51$raw_n118a 2 Y N
8355.names ArmUnLoadedPress$_nd32$raw_ndf0 ArmUnLoadedPress$_neb3$raw_n117c _nc51 ArmUnLoadedPress$_nc51$raw_n118a
8356- - 0 =ArmUnLoadedPress$_neb3$raw_n117c
8357- - 1 =ArmUnLoadedPress$_nd32$raw_ndf0
8358.mv RARotaryMotor$_nc51$raw_n118b 3 CWise Stop CCWise
8359.names RARotaryMotor$_ndfa$raw_ne95 RARotaryMotor$_neb3$raw_n1173 _nc51 RARotaryMotor$_nc51$raw_n118b
8360- - 0 =RARotaryMotor$_neb3$raw_n1173
8361- - 1 =RARotaryMotor$_ndfa$raw_ne95
8362.mv RAExtendLoadArm$_nc51$raw_n118c 3 Extend Retract Stop
8363.names RAExtendLoadArm$_nd32$raw_nde4 RAExtendLoadArm$_neb3$raw_n117e _nc51 RAExtendLoadArm$_nc51$raw_n118c
8364- - 0 =RAExtendLoadArm$_neb3$raw_n117e
8365- - 1 =RAExtendLoadArm$_nd32$raw_nde4
8366.mv LoadArmLoaded$_nc51$raw_n118e 2 Y N
8367.names LoadArmLoaded$_nc53$raw_ncce LoadArmLoaded$_neb3$raw_n1180 _nc51 LoadArmLoaded$_nc51$raw_n118e
8368- - 0 =LoadArmLoaded$_neb3$raw_n1180
8369- - 1 =LoadArmLoaded$_nc53$raw_ncce
8370.mv PieceGrabbedFromRT$_nc51$raw_n1194 2 Y N
8371.names PieceGrabbedFromRT$_nc53$raw_nccd PieceGrabbedFromRT _nc51 PieceGrabbedFromRT$_nc51$raw_n1194
8372- - 0 =PieceGrabbedFromRT
8373- - 1 =PieceGrabbedFromRT$_nc53$raw_nccd
8374.mv ArmLoadedPress$_nc51$raw_n1196 2 Y N
8375.names ArmLoadedPress ArmLoadedPress$_neb3$raw_n1179 _nc51 ArmLoadedPress$_nc51$raw_n1196
8376- - 0 =ArmLoadedPress$_neb3$raw_n1179
8377- - 1 =ArmLoadedPress
8378.mv PieceOutArm$_nc51$raw_n119c 2 Y N
8379.names PieceOutArm PieceOutArm$_neb3$raw_n1174 _nc51 PieceOutArm$_nc51$raw_n119c
8380- - 0 =PieceOutArm$_neb3$raw_n1174
8381- - 1 =PieceOutArm
8382.mv _n119f 2 Y N
8383.names _n119f
8384N
8385# DBReady  == 1
8386.names DBReady _n119f _n119e
8387.def 0
8388- =DBReady 1
8389.mv _n11a1 2 Y N
8390.names _n11a1
8391Y
8392# PieceOutArm  == 0
8393.names PieceOutArm$_nc51$raw_n119c _n11a1 _n11a0
8394.def 0
8395- =PieceOutArm$_nc51$raw_n119c 1
8396# DBReady  == 1 && PieceOutArm  == 0
8397.names _n119e _n11a0 _n11a2
8398.def 0
83991 1 1
8400.names _n11a2 _n11a3
8401- =_n11a2
8402# PieceOutArm  = 1
8403.mv PieceOutArm$_n11a2_n11a4$true 2 Y N
8404.names PieceOutArm$_n11a2_n11a4$true
8405N
8406# if/else (DBReady  == 1 && PieceOutArm  == 0)
8407.mv PieceOutArm$_n11a2$raw_n11ac 2 Y N
8408.names PieceOutArm$_n11a2_n11a4$true PieceOutArm$_nc51$raw_n119c _n11a2 PieceOutArm$_n11a2$raw_n11ac
8409- - 0 =PieceOutArm$_nc51$raw_n119c
8410- - 1 =PieceOutArm$_n11a2_n11a4$true
8411.mv _n11ca 2 Y N
8412.names _n11ca
8413N
8414# PressReadyToBeUnLoaded  == 1
8415.names PressReadyToBeUnLoaded _n11ca _n11c9
8416.def 0
8417- =PressReadyToBeUnLoaded 1
8418.mv _n11cc 2 Y N
8419.names _n11cc
8420Y
8421# ArmUnLoadedPress  == 0
8422.names ArmUnLoadedPress$_nc51$raw_n118a _n11cc _n11cb
8423.def 0
8424- =ArmUnLoadedPress$_nc51$raw_n118a 1
8425# PressReadyToBeUnLoaded  == 1 && ArmUnLoadedPress  == 0
8426.names _n11c9 _n11cb _n11cd
8427.def 0
84281 1 1
8429.names _n11cd _n11ce
8430- =_n11cd
8431# ArmUnLoadedPress  = 1
8432.mv ArmUnLoadedPress$_n11cd_n11cf$true 2 Y N
8433.names ArmUnLoadedPress$_n11cd_n11cf$true
8434N
8435# if/else (PressReadyToBeUnLoaded  == 1 && ArmUnLoadedPress  == 0)
8436.mv ArmUnLoadedPress$_n11cd$raw_n11d3 2 Y N
8437.names ArmUnLoadedPress$_n11cd_n11cf$true ArmUnLoadedPress$_nc51$raw_n118a _n11cd ArmUnLoadedPress$_n11cd$raw_n11d3
8438- - 0 =ArmUnLoadedPress$_nc51$raw_n118a
8439- - 1 =ArmUnLoadedPress$_n11cd_n11cf$true
8440.mv _n11f5 2 Y N
8441.names _n11f5
8442Y
8443# ArmLoadedPress  == 0
8444.names ArmLoadedPress$_nc51$raw_n1196 _n11f5 _n11f4
8445.def 0
8446- =ArmLoadedPress$_nc51$raw_n1196 1
8447.mv _n11f7 2 Y N
8448.names _n11f7
8449N
8450# PressReadyToBeLoaded  == 1
8451.names PressReadyToBeLoaded _n11f7 _n11f6
8452.def 0
8453- =PressReadyToBeLoaded 1
8454# ArmLoadedPress  == 0 && PressReadyToBeLoaded  == 1
8455.names _n11f4 _n11f6 _n11f8
8456.def 0
84571 1 1
8458.names _n11f8 _n11f9
8459- =_n11f8
8460# ArmLoadedPress  = 1
8461.mv ArmLoadedPress$_n11f8_n11fa$true 2 Y N
8462.names ArmLoadedPress$_n11f8_n11fa$true
8463N
8464# if/else (ArmLoadedPress  == 0 && PressReadyToBeLoaded  == 1)
8465.mv ArmLoadedPress$_n11f8$raw_n11fd 2 Y N
8466.names ArmLoadedPress$_n11f8_n11fa$true ArmLoadedPress$_nc51$raw_n1196 _n11f8 ArmLoadedPress$_n11f8$raw_n11fd
8467- - 0 =ArmLoadedPress$_nc51$raw_n1196
8468- - 1 =ArmLoadedPress$_n11f8_n11fa$true
8469.mv _n1220 2 Y N
8470.names _n1220
8471N
8472# RTOutReady  == 1
8473.names RTOutReady _n1220 _n121f
8474.def 0
8475- =RTOutReady 1
8476.mv _n1222 2 Y N
8477.names _n1222
8478Y
8479# PieceGrabbedFromRT  == 0
8480.names PieceGrabbedFromRT$_nc51$raw_n1194 _n1222 _n1221
8481.def 0
8482- =PieceGrabbedFromRT$_nc51$raw_n1194 1
8483# RTOutReady  == 1 && PieceGrabbedFromRT  == 0
8484.names _n121f _n1221 _n1223
8485.def 0
84861 1 1
8487.names _n1223 _n1224
8488- =_n1223
8489# PieceGrabbedFromRT  = 1
8490.mv PieceGrabbedFromRT$_n1223_n1225$true 2 Y N
8491.names PieceGrabbedFromRT$_n1223_n1225$true
8492N
8493# if/else (RTOutReady  == 1 && PieceGrabbedFromRT  == 0)
8494.mv PieceGrabbedFromRT$_n1223$raw_n122c 2 Y N
8495.names PieceGrabbedFromRT$_n1223_n1225$true PieceGrabbedFromRT$_nc51$raw_n1194 _n1223 PieceGrabbedFromRT$_n1223$raw_n122c
8496- - 0 =PieceGrabbedFromRT$_nc51$raw_n1194
8497- - 1 =PieceGrabbedFromRT$_n1223_n1225$true
8498# conflict arbitrators
8499.names _nc52 _nd34 _nd43 _nd6b _nd96 _neb4 _nede _nee7 _neea _nf13 _nf3a _nfce _nfd7 _nfda _n10b7 _n10e1 _n10ea _n10ee _n1113 _n1116 _n124a
8500.def 0
8501 1 1 0 0 1 - - - - - - - - - - - - - - - 1
8502 0 - - - - 1 1 1 1 - - - - - - - - - - - 1
8503 0 - - - - 1 1 - - 1 - - - - - - - - - - 1
8504 0 - - - - 0 - - - - 1 1 1 1 - - - - - - 1
8505 0 - - - - 0 - - - - 0 - - - 1 1 1 1 - - 1
8506 0 - - - - 0 - - - - 0 - - - 1 1 - - 1 1 1
8507.mv _n124b 2 Y N
8508.names _n124a UnLoadArmLoaded$_nc51$raw_n1188 UnLoadArmLoaded _n124b
85091 - - =UnLoadArmLoaded$_nc51$raw_n1188
85100 - - =UnLoadArmLoaded
8511.names _nc52 _neb4 _nf3a _nf73 _nfa4 _n11f9 _n1258
8512.def 0
8513 0 0 1 1 1 - 1
8514 - - - - - 1 1
8515.mv _n1259 2 Y N
8516.names _n1258 ArmLoadedPress$_n11f8$raw_n11fd ArmLoadedPress _n1259
85171 - - =ArmLoadedPress$_n11f8$raw_n11fd
85180 - - =ArmLoadedPress
8519.names _nc52 _nc55 _nc64 _nc82 _nc85 _nd34 _nd43 _nd6b _nd96 _ndfc _ne31 _ne52 _ne58 _neb4 _neb7 _neba _necb _nede _nee7 _neea _nf13 _nf3a _nf3d _nf40 _nf43 _nfce _nfd7 _nfda _n102e _n1077 _n10b7 _n10ba _n10bd _n10ce _n10e1 _n10ea _n10ee _n1113 _n1116 _n1266
8520.def 0
8521 1 1 0 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
8522 1 - - - - 1 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
8523 1 - - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
8524 1 - - - - - - - - 1 - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1
8525 0 - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - 1
8526 0 - - - - - - - - - - - - 1 1 - 1 - - - - - - - - - - - - - - - - - - - - - - 1
8527 0 - - - - - - - - - - - - 1 - - - 1 1 1 - - - - - - - - - - - - - - - - - - - 1
8528 0 - - - - - - - - - - - - 1 - - - 1 1 0 - - - - - - - - - - - - - - - - - - - 1
8529 0 - - - - - - - - - - - - 1 - - - 1 - - 1 - - - - - - - - - - - - - - - - - - 1
8530 0 - - - - - - - - - - - - 0 - - - - - - - 1 1 1 1 - - - - - - - - - - - - - - 1
8531 0 - - - - - - - - - - - - 0 - - - - - - - 1 - - - 1 1 1 - - - - - - - - - - - 1
8532 0 - - - - - - - - - - - - 0 - - - - - - - 1 - - - - - - 1 1 - - - - - - - - - 1
8533 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 1 1 - - - - - - 1
8534 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 1 - 1 - - - - - 1
8535 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 - - - 1 1 - - - 1
8536 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 - - - 1 1 1 - - 1
8537 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 - - - 1 - - 1 1 1
8538.mv _n1267 3 Extend Retract Stop
8539.names _n1266 RAExtendUnLoadArm$_nc51$raw_n1189 RAExtendUnLoadArm _n1267
85401 - - =RAExtendUnLoadArm$_nc51$raw_n1189
85410 - - =RAExtendUnLoadArm
8542.names _nc52 _nd34 _nd43 _nd6b _nd96 _neb4 _nf3a _nfce _nfd7 _nfda _n11ce _n1274
8543.def 0
8544 1 1 0 0 1 - - - - - - 1
8545 0 - - - - 0 1 1 1 1 - 1
8546 - - - - - - - - - - 1 1
8547.mv _n1275 2 Y N
8548.names _n1274 ArmUnLoadedPress$_n11cd$raw_n11d3 ArmUnLoadedPress _n1275
85491 - - =ArmUnLoadedPress$_n11cd$raw_n11d3
85500 - - =ArmUnLoadedPress
8551.names _nc52 _nc55 _nc64 _nc67 _nc6b _nc82 _nc85 _ncd1 _nce0 _nd07 _nd34 _nd43 _nd46 _nd6b _ndfc _ne0b _ne31 _ne52 _ne58 _neb4 _neb7 _neba _necb _nf3a _nf3d _nf40 _nf43 _nf5a _n102e _n1031 _n1077 _n10b7 _n10ba _n10bd _n10ce _n1282
8552.def 0
8553 1 1 1 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
8554 1 1 0 - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1
8555 1 - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1
8556 1 - - - - - - 1 - 1 - - - - - - - - - - - - - - - - - - - - - - - - - 1
8557 1 - - - - - - - - - 1 1 0 - - - - - - - - - - - - - - - - - - - - - - 1
8558 1 - - - - - - - - - 1 0 - 1 - - - - - - - - - - - - - - - - - - - - - 1
8559 1 - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - 1
8560 1 - - - - - - - - - - - - - 1 - 1 - - - - - - - - - - - - - - - - - - 1
8561 1 - - - - - - - - - - - - - 1 - - 1 1 - - - - - - - - - - - - - - - - 1
8562 0 - - - - - - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - - 1
8563 0 - - - - - - - - - - - - - - - - - - 1 1 - 1 - - - - - - - - - - - - 1
8564 0 - - - - - - - - - - - - - - - - - - 0 - - - 1 1 1 1 - - - - - - - - 1
8565 0 - - - - - - - - - - - - - - - - - - 0 - - - 1 1 - - 1 - - - - - - - 1
8566 0 - - - - - - - - - - - - - - - - - - 0 - - - 1 - - - - 1 1 - - - - - 1
8567 0 - - - - - - - - - - - - - - - - - - 0 - - - 1 - - - - 1 - 1 - - - - 1
8568 0 - - - - - - - - - - - - - - - - - - 0 - - - 0 - - - - - - - 1 1 1 - 1
8569 0 - - - - - - - - - - - - - - - - - - 0 - - - 0 - - - - - - - 1 1 - 1 1
8570.mv _n1283 3 CWise Stop CCWise
8571.names _n1282 RARotaryMotor$_nc51$raw_n118b RARotaryMotor _n1283
85721 - - =RARotaryMotor$_nc51$raw_n118b
85730 - - =RARotaryMotor
8574.names _nc52 _nc55 _nc64 _nc67 _nc82 _nc9f _ncd1 _nd07 _nd34 _nd43 _nd46 _nd6b _nd6f _neb4 _nf3a _nf3d _nf5a _nf73 _nf7f _nf82 _nfa4 _n102e _n1031 _n1035 _n1290
8575.def 0
8576 1 1 1 1 - - - - - - - - - - - - - - - - - - - - 1
8577 1 1 0 - 0 1 - - - - - - - - - - - - - - - - - - 1
8578 1 - - - - - 1 1 - - - - - - - - - - - - - - - - 1
8579 1 - - - - - - - 1 1 1 - - - - - - - - - - - - - 1
8580 1 - - - - - - - 1 0 - 1 1 - - - - - - - - - - - 1
8581 0 - - - - - - - - - - - - 0 1 1 1 - - - - - - - 1
8582 0 - - - - - - - - - - - - 0 1 - - 1 1 1 - - - - 1
8583 0 - - - - - - - - - - - - 0 1 - - 1 - - 1 - - - 1
8584 0 - - - - - - - - - - - - 0 1 - - - - - - 1 1 1 1
8585.mv _n1291 3 Extend Retract Stop
8586.names _n1290 RAExtendLoadArm$_nc51$raw_n118c RAExtendLoadArm _n1291
85871 - - =RAExtendLoadArm$_nc51$raw_n118c
85880 - - =RAExtendLoadArm
8589.names _nc52 _nc55 _nc64 _nc82 _nc9f _n1224 _n129e
8590.def 0
8591 1 1 0 0 1 - 1
8592 - - - - - 1 1
8593.mv _n129f 2 Y N
8594.names _n129e PieceGrabbedFromRT$_n1223$raw_n122c PieceGrabbedFromRT _n129f
85951 - - =PieceGrabbedFromRT$_n1223$raw_n122c
85960 - - =PieceGrabbedFromRT
8597.names _nc52 _neb4 _nede _nee7 _neea _nf13 _nf3a _n10b7 _n10e1 _n10ea _n10ee _n1113 _n1116 _n11a3 _n12ac
8598.def 0
8599 0 1 1 1 1 - - - - - - - - - 1
8600 0 1 1 - - 1 - - - - - - - - 1
8601 0 0 - - - - 0 1 1 1 1 - - - 1
8602 0 0 - - - - 0 1 1 - - 1 1 - 1
8603 - - - - - - - - - - - - - 1 1
8604.mv _n12ad 2 Y N
8605.names _n12ac PieceOutArm$_n11a2$raw_n11ac PieceOutArm _n12ad
86061 - - =PieceOutArm$_n11a2$raw_n11ac
86070 - - =PieceOutArm
8608.names _nc52 _nc55 _nc64 _nc82 _nc9f _neb4 _nf3a _nf73 _nfa4 _n12ba
8609.def 0
8610 1 1 0 0 1 - - - - 1
8611 0 - - - - 0 1 1 1 1
8612.mv _n12bb 2 Y N
8613.names _n12ba LoadArmLoaded$_nc51$raw_n118e LoadArmLoaded _n12bb
86141 - - =LoadArmLoaded$_nc51$raw_n118e
86150 - - =LoadArmLoaded
8616# non-blocking assignments
8617# latches
8618.r RAExtendUnLoadArm$raw_nc45 RAExtendUnLoadArm
8619- =RAExtendUnLoadArm$raw_nc45
8620.latch _n1267 RAExtendUnLoadArm
8621.r ArmLoadedPress$raw_nc49 ArmLoadedPress
8622- =ArmLoadedPress$raw_nc49
8623.latch _n1259 ArmLoadedPress
8624.r UnLoadArmLoaded$raw_nc4c UnLoadArmLoaded
8625- =UnLoadArmLoaded$raw_nc4c
8626.latch _n124b UnLoadArmLoaded
8627.r RARotaryMotor$raw_nc46 RARotaryMotor
8628- =RARotaryMotor$raw_nc46
8629.latch _n1283 RARotaryMotor
8630.r ArmUnLoadedPress$raw_nc48 ArmUnLoadedPress
8631- =ArmUnLoadedPress$raw_nc48
8632.latch _n1275 ArmUnLoadedPress
8633.r RAExtendLoadArm$raw_nc44 RAExtendLoadArm
8634- =RAExtendLoadArm$raw_nc44
8635.latch _n1291 RAExtendLoadArm
8636.r PieceOutArm$raw_nc47 PieceOutArm
8637- =PieceOutArm$raw_nc47
8638.latch _n12ad PieceOutArm
8639.r PieceGrabbedFromRT$raw_nc4a PieceGrabbedFromRT
8640- =PieceGrabbedFromRT$raw_nc4a
8641.latch _n129f PieceGrabbedFromRT
8642.r LoadArmLoaded$raw_nc4b LoadArmLoaded
8643- =LoadArmLoaded$raw_nc4b
8644.latch _n12bb LoadArmLoaded
8645# quasi-continuous assignment
8646.end
8647
8648
Note: See TracBrowser for help on using the repository browser.