module andgate(clk,a,b); input clk; input a; input b; reg c; initial c = 0; always @(posedge clk) begin c = a & b ; end endmodule