module andgate(clk,a,b); input clk; input a; input b; reg c; reg d; initial c = 0; initial d = 0; always @(posedge clk) begin c = a | b ; d = a & b ; end endmodule