module compo(ck,i,t); input ck; input i; input j; output t; simple S(ck,i,t); cex cex(ck,j); endmodule `include "modele.v" `include "cex.v"