# vl2mv model.vl # version: 0.2 # date: 14:05:28 12/30/96 (MST) .model ProductionCell # I/O ports .mv PressReadyToBeLoaded 2 Y N .mv ArmLoadedPress 2 Y N .mv PressReadyToBeUnLoaded 2 Y N .mv ArmUnLoadedPress 2 Y N .mv PieceReleasedOnFB 2 Y N .mv FBReady 2 Y N .mv PieceGrabbedFromDB 2 Y N .mv RTOutReady 2 Y N .mv PieceGrabbedFromFB 2 Y N .mv PieceOutFB 2 Y N .mv PieceOutArm 2 Y N .mv PieceGrabbedFromRT 2 Y N .mv PieceOutDB 2 Y N .mv DBReady 2 Y N .subckt TravellingCraneSet TC PieceOutDB=PieceOutDB FBReady=FBReady PieceGrabbedFromDB=PieceGrabbedFromDB PieceReleasedOnFB=PieceReleasedOnFB .subckt DepositBeltSet DB PieceGrabbedFromDB=PieceGrabbedFromDB PieceOutArm=PieceOutArm PieceOutDB=PieceOutDB DBReady=DBReady .subckt FeedBeltSet FB PieceGrabbedFromFB=PieceGrabbedFromFB PieceReleasedOnFB=PieceReleasedOnFB FBReady=FBReady PieceOutFB=PieceOutFB .subckt RotaryTableSet RT PieceOutFB=PieceOutFB PieceGrabbedFromRT=PieceGrabbedFromRT PieceGrabbedFromFB=PieceGrabbedFromFB RTOutReady=RTOutReady .subckt PressSet PR ArmLoadedPress=ArmLoadedPress ArmUnLoadedPress=ArmUnLoadedPress PressReadyToBeLoaded=PressReadyToBeLoaded PressReadyToBeUnLoaded=PressReadyToBeUnLoaded .subckt ArmSet AR DBReady=DBReady PressReadyToBeUnLoaded=PressReadyToBeUnLoaded PressReadyToBeLoaded=PressReadyToBeLoaded RTOutReady=RTOutReady PieceOutArm=PieceOutArm ArmUnLoadedPress=ArmUnLoadedPress ArmLoadedPress=ArmLoadedPress PieceGrabbedFromRT=PieceGrabbedFromRT # conflict arbitrators # non-blocking assignments # latches # quasi-continuous assignment .end .model TravellingCraneSet # I/O ports .outputs PieceReleasedOnFB .outputs PieceGrabbedFromDB .inputs FBReady .inputs PieceOutDB .mv VerticalMove 3 GoUp GoDown Stop .mv VerticalPos 3 UpMost DBHight FBHight .mv PieceReleasedOnFB 2 Y N .mv PieceGrabbedFromDB 2 Y N .mv FBReady 2 Y N .mv CraneOnTheRight 2 Y N .mv CraneOnTheLeft 2 Y N .mv HorizontalMove 3 GoLeft GoRight Stop .mv PieceOutDB 2 Y N .subckt TravellingCrane Crane HorizontalMove=HorizontalMove VerticalMove=VerticalMove CraneOnTheLeft=CraneOnTheLeft CraneOnTheRight=CraneOnTheRight VerticalPos=VerticalPos .subckt TravellingCraneCNTR CraneCNTR FBReady=FBReady PieceOutDB=PieceOutDB CraneOnTheLeft=CraneOnTheLeft CraneOnTheRight=CraneOnTheRight VerticalPos=VerticalPos HorizontalMove=HorizontalMove VerticalMove=VerticalMove PieceReleasedOnFB=PieceReleasedOnFB PieceGrabbedFromDB=PieceGrabbedFromDB # conflict arbitrators # non-blocking assignments # latches # quasi-continuous assignment .end .model DepositBeltSet # I/O ports .inputs PieceGrabbedFromDB .outputs PieceOutDB .inputs PieceOutArm .outputs DBReady .mv PieceGrabbedFromDB 2 Y N .mv DBelt3 2 E F .mv DBelt2 2 E F .mv DBelt1 2 E F .mv DBelt0 2 E F .mv PieceOutDB 2 Y N .mv PieceOutArm 2 Y N .mv DBMotorSwitch 2 on off .mv DBReady 2 Y N .subckt DepositBelt DBelt DBMotorSwitch=DBMotorSwitch PieceOutArm=PieceOutArm PieceGrabbedFromDB=PieceGrabbedFromDB DBReady=DBReady DBelt0=DBelt0 DBelt1=DBelt1 DBelt2=DBelt2 DBelt3=DBelt3 .subckt DepositBeltCNTR DBeltCNTR DBelt0=DBelt0 DBelt1=DBelt1 DBelt2=DBelt2 DBelt3=DBelt3 PieceGrabbedFromDB=PieceGrabbedFromDB PieceOutArm=PieceOutArm DBMotorSwitch=DBMotorSwitch DBReady=DBReady PieceOutDB=PieceOutDB # conflict arbitrators # non-blocking assignments # latches # quasi-continuous assignment .end .model FeedBeltSet # I/O ports .outputs FBReady .inputs PieceReleasedOnFB .outputs PieceOutFB .inputs PieceGrabbedFromFB .mv FBelt3 2 E F .mv FBelt2 2 E F .mv FBReady 2 Y N .mv PieceReleasedOnFB 2 Y N .mv FBelt1 2 E F .mv FBelt0 2 E F .mv FBMotorSwitch 2 on off .mv PieceOutFB 2 Y N .mv PieceGrabbedFromFB 2 Y N .subckt FeedBelt FBelt FBMotorSwitch=FBMotorSwitch PieceReleasedOnFB=PieceReleasedOnFB PieceGrabbedFromFB=PieceGrabbedFromFB FBReady=FBReady FBelt0=FBelt0 FBelt1=FBelt1 FBelt2=FBelt2 FBelt3=FBelt3 .subckt FeedBeltCNTR FBeltCNTR FBelt0=FBelt0 FBelt1=FBelt1 FBelt2=FBelt2 FBelt3=FBelt3 PieceGrabbedFromFB=PieceGrabbedFromFB PieceReleasedOnFB=PieceReleasedOnFB FBMotorSwitch=FBMotorSwitch FBReady=FBReady PieceOutFB=PieceOutFB # conflict arbitrators # non-blocking assignments # latches # quasi-continuous assignment .end .model RotaryTableSet # I/O ports .outputs RTOutReady .outputs PieceGrabbedFromFB .inputs PieceOutFB .inputs PieceGrabbedFromRT .mv RTOnTop 2 Y N .mv RTOnArm 2 Y N .mv RTOnFB 2 Y N .mv RTOutReady 2 Y N .mv RTOnBottom 2 Y N .mv RTVerticalMotor 3 GoUp GoDown Stop .mv RTRotaryMotor 3 CWise Stop CCWise .mv PieceGrabbedFromFB 2 Y N .mv PieceOutFB 2 Y N .mv PieceGrabbedFromRT 2 Y N .subckt RotaryTable RTable RTRotaryMotor=RTRotaryMotor RTVerticalMotor=RTVerticalMotor RTOnFB=RTOnFB RTOnArm=RTOnArm RTOnTop=RTOnTop RTOnBottom=RTOnBottom .subckt RotaryTableCNTR RTableCNTR PieceOutFB=PieceOutFB PieceGrabbedFromRT=PieceGrabbedFromRT RTOnFB=RTOnFB RTOnArm=RTOnArm RTOnTop=RTOnTop RTOnBottom=RTOnBottom RTRotaryMotor=RTRotaryMotor RTVerticalMotor=RTVerticalMotor PieceGrabbedFromFB=PieceGrabbedFromFB RTOutReady=RTOutReady # conflict arbitrators # non-blocking assignments # latches # quasi-continuous assignment .end .model PressSet # I/O ports .outputs PressReadyToBeUnLoaded .outputs PressReadyToBeLoaded .inputs ArmLoadedPress .inputs ArmUnLoadedPress .mv PressReadyToBeUnLoaded 2 Y N .mv PressReadyToBeLoaded 2 Y N .mv ArmLoadedPress 2 Y N .mv ArmUnLoadedPress 2 Y N .mv PressMotor 3 GoUp GoDown Stop .mv PressPosition 3 Top Mid Bot .subckt Press Pr PressMotor=PressMotor PressPosition=PressPosition .subckt PressCNTR PrCNTR PressPosition=PressPosition ArmLoadedPress=ArmLoadedPress ArmUnLoadedPress=ArmUnLoadedPress PressMotor=PressMotor PressReadyToBeLoaded=PressReadyToBeLoaded PressReadyToBeUnLoaded=PressReadyToBeUnLoaded # conflict arbitrators # non-blocking assignments # latches # quasi-continuous assignment .end .model ArmSet # I/O ports .outputs ArmLoadedPress .inputs PressReadyToBeLoaded .inputs PressReadyToBeUnLoaded .outputs ArmUnLoadedPress .inputs RTOutReady .outputs PieceGrabbedFromRT .outputs PieceOutArm .inputs DBReady .mv RAExtendUnLoadArm 3 Extend Retract Stop .mv RAArmOverDB 2 Y N .mv RAArmOverLoadedPress 2 Y N .mv RAUnLoadArmExtended 2 Y N .mv ArmLoadedPress 2 Y N .mv PressReadyToBeLoaded 2 Y N .mv PressReadyToBeUnLoaded 2 Y N .mv RARotaryMotor 3 CWise Stop CCWise .mv ArmUnLoadedPress 2 Y N .mv RAArmOverUnLoadedPress 2 Y N .mv RALoadArmExtended 2 Y N .mv RTOutReady 2 Y N .mv RAExtendLoadArm 3 Extend Retract Stop .mv RAArmOverRT 2 Y N .mv RALoadArmRetracted 2 Y N .mv PieceGrabbedFromRT 2 Y N .mv PieceOutArm 2 Y N .mv RAUnLoadArmRetracted 2 Y N .mv DBReady 2 Y N .subckt RobotArm Arm RAExtendLoadArm=RAExtendLoadArm RAExtendUnLoadArm=RAExtendUnLoadArm RARotaryMotor=RARotaryMotor RALoadArmExtended=RALoadArmExtended RALoadArmRetracted=RALoadArmRetracted RAUnLoadArmExtended=RAUnLoadArmExtended RAUnLoadArmRetracted=RAUnLoadArmRetracted RAArmOverRT=RAArmOverRT RAArmOverUnLoadedPress=RAArmOverUnLoadedPress RAArmOverLoadedPress=RAArmOverLoadedPress RAArmOverDB=RAArmOverDB .subckt RobotArmCNTR ACNTR RALoadArmExtended=RALoadArmExtended RALoadArmRetracted=RALoadArmRetracted RAUnLoadArmExtended=RAUnLoadArmExtended RAUnLoadArmRetracted=RAUnLoadArmRetracted RAArmOverRT=RAArmOverRT RAArmOverUnLoadedPress=RAArmOverUnLoadedPress RAArmOverLoadedPress=RAArmOverLoadedPress RAArmOverDB=RAArmOverDB DBReady=DBReady PressReadyToBeUnLoaded=PressReadyToBeUnLoaded PressReadyToBeLoaded=PressReadyToBeLoaded RTOutReady=RTOutReady RAExtendLoadArm=RAExtendLoadArm RAExtendUnLoadArm=RAExtendUnLoadArm RARotaryMotor=RARotaryMotor PieceOutArm=PieceOutArm ArmUnLoadedPress=ArmUnLoadedPress ArmLoadedPress=ArmLoadedPress PieceGrabbedFromRT=PieceGrabbedFromRT # conflict arbitrators # non-blocking assignments # latches # quasi-continuous assignment .end .model TravellingCrane # I/O ports .inputs VerticalMove .outputs VerticalPos .outputs CraneOnTheRight .outputs CraneOnTheLeft .inputs HorizontalMove .mv VerticalMove 3 GoUp GoDown Stop .mv VerticalPos 3 UpMost DBHight FBHight .mv CraneOnTheRight 2 Y N .mv CraneOnTheLeft 2 Y N .mv HorizontalMove 3 GoLeft GoRight Stop .mv HorizontalPos 3 OverFB Middle OverDB # assign CraneOnTheLeft = (HorizontalPos == OverFB ) ? 0 : 1 .mv CraneOnTheLeft$raw_n0 2 Y N .mv _n2 3 OverFB Middle OverDB .names _n2 OverFB # HorizontalPos == 0 .names HorizontalPos _n2 _n1 .def 0 - =HorizontalPos 1 .mv _n3 2 Y N .names _n3 Y .mv _n4 2 Y N .names _n4 N # (HorizontalPos == 0) ? 0 : 1 .mv _n5 2 Y N .names _n3 _n4 _n1 _n5 - - 0 =_n4 - - 1 =_n3 .names _n5 CraneOnTheLeft$raw_n0 - =_n5 # assign CraneOnTheRight = (HorizontalPos == OverDB ) ? 0 : 1 .mv CraneOnTheRight$raw_n6 2 Y N .mv _n8 3 OverFB Middle OverDB .names _n8 OverDB # HorizontalPos == 2 .names HorizontalPos _n8 _n7 .def 0 - =HorizontalPos 1 .mv _n9 2 Y N .names _n9 Y .mv _na 2 Y N .names _na N # (HorizontalPos == 2) ? 0 : 1 .mv _nb 2 Y N .names _n9 _na _n7 _nb - - 0 =_na - - 1 =_n9 .names _nb CraneOnTheRight$raw_n6 - =_nb # HorizontalPos = $NDset ( 1,2,0 ) .mv HorizontalPos$raw_nc 3 OverFB Middle OverDB .mv HorizontalPos$raw_nc$initial$_nd 3 OverFB Middle OverDB .names HorizontalPos$raw_nc$initial$_nd Middle OverDB OverFB .names HorizontalPos$raw_nc$initial$_nd HorizontalPos$raw_nc - =HorizontalPos$raw_nc$initial$_nd # VerticalPos = 0 .mv VerticalPos$raw_ne 3 UpMost DBHight FBHight .names VerticalPos$raw_ne UpMost # non-blocking assignments for initial .mv _n10 3 GoLeft GoRight Stop .names _n10 GoLeft # HorizontalMove == 0 .names HorizontalMove _n10 _nf .def 0 - =HorizontalMove 1 .names _nf _n11 - =_nf .mv _n13 3 OverFB Middle OverDB .names _n13 Middle # HorizontalPos == 1 .names HorizontalPos _n13 _n12 .def 0 - =HorizontalPos 1 .names _n12 _n14 - =_n12 # HorizontalPos = 0 .mv HorizontalPos$_n12_n15$true 3 OverFB Middle OverDB .names HorizontalPos$_n12_n15$true OverFB .mv _n17 3 OverFB Middle OverDB .names _n17 OverDB # HorizontalPos == 2 .names HorizontalPos _n17 _n16 .def 0 - =HorizontalPos 1 .names _n16 _n18 - =_n16 # HorizontalPos = 1 .mv HorizontalPos$_n16_n19$true 3 OverFB Middle OverDB .names HorizontalPos$_n16_n19$true Middle # if/else (HorizontalPos == 2) .mv HorizontalPos$_n16$raw_n1c 3 OverFB Middle OverDB .names HorizontalPos$_n16_n19$true HorizontalPos _n16 HorizontalPos$_n16$raw_n1c - - 0 =HorizontalPos - - 1 =HorizontalPos$_n16_n19$true # if/else (HorizontalPos == 1) .mv HorizontalPos$_n12$raw_n1e 3 OverFB Middle OverDB .names HorizontalPos$_n12_n15$true HorizontalPos$_n16$raw_n1c _n12 HorizontalPos$_n12$raw_n1e - - 0 =HorizontalPos$_n16$raw_n1c - - 1 =HorizontalPos$_n12_n15$true # if/else (HorizontalMove == 0) .mv HorizontalPos$_nf$raw_n23 3 OverFB Middle OverDB .names HorizontalPos$_n12$raw_n1e HorizontalPos _nf HorizontalPos$_nf$raw_n23 - - 0 =HorizontalPos - - 1 =HorizontalPos$_n12$raw_n1e .mv _n25 3 GoLeft GoRight Stop .names _n25 GoRight # HorizontalMove == 1 .names HorizontalMove _n25 _n24 .def 0 - =HorizontalMove 1 .names _n24 _n26 - =_n24 .mv _n28 3 OverFB Middle OverDB .names _n28 Middle # HorizontalPos == 1 .names HorizontalPos$_nf$raw_n23 _n28 _n27 .def 0 - =HorizontalPos$_nf$raw_n23 1 .names _n27 _n29 - =_n27 # HorizontalPos = 2 .mv HorizontalPos$_n27_n2a$true 3 OverFB Middle OverDB .names HorizontalPos$_n27_n2a$true OverDB .mv _n2c 3 OverFB Middle OverDB .names _n2c OverFB # HorizontalPos == 0 .names HorizontalPos$_nf$raw_n23 _n2c _n2b .def 0 - =HorizontalPos$_nf$raw_n23 1 .names _n2b _n2d - =_n2b # HorizontalPos = 1 .mv HorizontalPos$_n2b_n2e$true 3 OverFB Middle OverDB .names HorizontalPos$_n2b_n2e$true Middle # if/else (HorizontalPos == 0) .mv HorizontalPos$_n2b$raw_n2f 3 OverFB Middle OverDB .names HorizontalPos$_n2b_n2e$true HorizontalPos$_nf$raw_n23 _n2b HorizontalPos$_n2b$raw_n2f - - 0 =HorizontalPos$_nf$raw_n23 - - 1 =HorizontalPos$_n2b_n2e$true # if/else (HorizontalPos == 1) .mv HorizontalPos$_n27$raw_n33 3 OverFB Middle OverDB .names HorizontalPos$_n27_n2a$true HorizontalPos$_n2b$raw_n2f _n27 HorizontalPos$_n27$raw_n33 - - 0 =HorizontalPos$_n2b$raw_n2f - - 1 =HorizontalPos$_n27_n2a$true # if/else (HorizontalMove == 1) .mv HorizontalPos$_n24$raw_n37 3 OverFB Middle OverDB .names HorizontalPos$_n27$raw_n33 HorizontalPos$_nf$raw_n23 _n24 HorizontalPos$_n24$raw_n37 - - 0 =HorizontalPos$_nf$raw_n23 - - 1 =HorizontalPos$_n27$raw_n33 .mv _n3c 3 GoUp GoDown Stop .names _n3c GoUp # VerticalMove == 0 .names VerticalMove _n3c _n3b .def 0 - =VerticalMove 1 .names _n3b _n3d - =_n3b .mv _n3f 3 UpMost DBHight FBHight .names _n3f DBHight # VerticalPos == 1 .names VerticalPos _n3f _n3e .def 0 - =VerticalPos 1 .names _n3e _n40 - =_n3e # VerticalPos = 0 .mv VerticalPos$_n3e_n41$true 3 UpMost DBHight FBHight .names VerticalPos$_n3e_n41$true UpMost .mv _n43 3 UpMost DBHight FBHight .names _n43 FBHight # VerticalPos == 2 .names VerticalPos _n43 _n42 .def 0 - =VerticalPos 1 .names _n42 _n44 - =_n42 # VerticalPos = 1 .mv VerticalPos$_n42_n45$true 3 UpMost DBHight FBHight .names VerticalPos$_n42_n45$true DBHight # if/else (VerticalPos == 2) .mv VerticalPos$_n42$raw_n4a 3 UpMost DBHight FBHight .names VerticalPos$_n42_n45$true VerticalPos _n42 VerticalPos$_n42$raw_n4a - - 0 =VerticalPos - - 1 =VerticalPos$_n42_n45$true # if/else (VerticalPos == 1) .mv VerticalPos$_n3e$raw_n4f 3 UpMost DBHight FBHight .names VerticalPos$_n3e_n41$true VerticalPos$_n42$raw_n4a _n3e VerticalPos$_n3e$raw_n4f - - 0 =VerticalPos$_n42$raw_n4a - - 1 =VerticalPos$_n3e_n41$true # if/else (VerticalMove == 0) .mv VerticalPos$_n3b$raw_n59 3 UpMost DBHight FBHight .names VerticalPos$_n3e$raw_n4f VerticalPos _n3b VerticalPos$_n3b$raw_n59 - - 0 =VerticalPos - - 1 =VerticalPos$_n3e$raw_n4f .mv _n5d 3 GoUp GoDown Stop .names _n5d GoDown # VerticalMove == 1 .names VerticalMove _n5d _n5c .def 0 - =VerticalMove 1 .names _n5c _n5e - =_n5c .mv _n60 3 UpMost DBHight FBHight .names _n60 UpMost # VerticalPos == 0 .names VerticalPos$_n3b$raw_n59 _n60 _n5f .def 0 - =VerticalPos$_n3b$raw_n59 1 .names _n5f _n61 - =_n5f # VerticalPos = 1 .mv VerticalPos$_n5f_n62$true 3 UpMost DBHight FBHight .names VerticalPos$_n5f_n62$true DBHight .mv _n64 3 UpMost DBHight FBHight .names _n64 DBHight # VerticalPos == 1 .names VerticalPos$_n3b$raw_n59 _n64 _n63 .def 0 - =VerticalPos$_n3b$raw_n59 1 .names _n63 _n65 - =_n63 # VerticalPos = 2 .mv VerticalPos$_n63_n66$true 3 UpMost DBHight FBHight .names VerticalPos$_n63_n66$true FBHight # if/else (VerticalPos == 1) .mv VerticalPos$_n63$raw_n67 3 UpMost DBHight FBHight .names VerticalPos$_n63_n66$true VerticalPos$_n3b$raw_n59 _n63 VerticalPos$_n63$raw_n67 - - 0 =VerticalPos$_n3b$raw_n59 - - 1 =VerticalPos$_n63_n66$true # if/else (VerticalPos == 0) .mv VerticalPos$_n5f$raw_n6f 3 UpMost DBHight FBHight .names VerticalPos$_n5f_n62$true VerticalPos$_n63$raw_n67 _n5f VerticalPos$_n5f$raw_n6f - - 0 =VerticalPos$_n63$raw_n67 - - 1 =VerticalPos$_n5f_n62$true # if/else (VerticalMove == 1) .mv VerticalPos$_n5c$raw_n77 3 UpMost DBHight FBHight .names VerticalPos$_n5f$raw_n6f VerticalPos$_n3b$raw_n59 _n5c VerticalPos$_n5c$raw_n77 - - 0 =VerticalPos$_n3b$raw_n59 - - 1 =VerticalPos$_n5f$raw_n6f # conflict arbitrators .names _n3d _n40 _n44 _n5e _n61 _n65 _n7f .def 0 1 1 - - - - 1 1 0 1 - - - 1 - - - 1 1 - 1 - - - 1 0 1 1 .mv _n80 3 UpMost DBHight FBHight .names _n7f VerticalPos$_n5c$raw_n77 VerticalPos _n80 1 - - =VerticalPos$_n5c$raw_n77 0 - - =VerticalPos .names CraneOnTheRight$raw_n6 CraneOnTheRight - =CraneOnTheRight$raw_n6 .names CraneOnTheLeft$raw_n0 CraneOnTheLeft - =CraneOnTheLeft$raw_n0 .names _n11 _n14 _n18 _n26 _n29 _n2d _n87 .def 0 1 1 - - - - 1 1 0 1 - - - 1 - - - 1 1 - 1 - - - 1 0 1 1 .mv _n88 3 OverFB Middle OverDB .names _n87 HorizontalPos$_n24$raw_n37 HorizontalPos _n88 1 - - =HorizontalPos$_n24$raw_n37 0 - - =HorizontalPos # non-blocking assignments # latches .r VerticalPos$raw_ne VerticalPos - =VerticalPos$raw_ne .latch _n80 VerticalPos .r HorizontalPos$raw_nc HorizontalPos - =HorizontalPos$raw_nc .latch _n88 HorizontalPos # quasi-continuous assignment .end .model TravellingCraneCNTR # I/O ports .outputs VerticalMove .outputs PieceGrabbedFromDB .outputs PieceReleasedOnFB .inputs VerticalPos .inputs FBReady .inputs CraneOnTheRight .inputs CraneOnTheLeft .outputs HorizontalMove .inputs PieceOutDB .mv VerticalMove 3 GoUp GoDown Stop .mv PieceGrabbedFromDB 2 Y N .mv PieceReleasedOnFB 2 Y N .mv VerticalPos 3 UpMost DBHight FBHight .mv FBReady 2 Y N .mv CraneOnTheRight 2 Y N .mv CraneOnTheLeft 2 Y N .mv HorizontalMove 3 GoLeft GoRight Stop .mv PieceOutDB 2 Y N .mv Grip 2 Grab Free # HorizontalMove = 2 .mv HorizontalMove$raw_n8f 3 GoLeft GoRight Stop .names HorizontalMove$raw_n8f Stop # VerticalMove = 2 .mv VerticalMove$raw_n90 3 GoUp GoDown Stop .names VerticalMove$raw_n90 Stop # Grip = 1 .mv Grip$raw_n91 2 Grab Free .names Grip$raw_n91 Free # PieceReleasedOnFB = 1 .mv PieceReleasedOnFB$raw_n92 2 Y N .names PieceReleasedOnFB$raw_n92 N # PieceGrabbedFromDB = 1 .mv PieceGrabbedFromDB$raw_n93 2 Y N .names PieceGrabbedFromDB$raw_n93 N # non-blocking assignments for initial .mv _n96 2 Grab Free .names _n96 Grab .names Grip _n96 _n95 .def 0 - =Grip 1 .names _n95 _n94 1 1 0 0 .mv _n99 3 UpMost DBHight FBHight .names _n99 UpMost .names VerticalPos _n99 _n98 .def 0 - =VerticalPos 1 .names _n98 _n97 1 1 0 0 # Grip = 0 .mv Grip$_n97_n9a$true 2 Grab Free .names Grip$_n97_n9a$true Grab .mv _n9c 2 Y N .names _n9c Y # CraneOnTheLeft == 0 .names CraneOnTheLeft _n9c _n9b .def 0 - =CraneOnTheLeft 1 .names _n9b _n9d - =_n9b # HorizontalMove = 2 .mv HorizontalMove$_n9b_n9e$true 3 GoLeft GoRight Stop .names HorizontalMove$_n9b_n9e$true Stop # VerticalMove = 1 .mv VerticalMove$_n9b_n9f$true 3 GoUp GoDown Stop .names VerticalMove$_n9b_n9f$true GoDown .mv _na1 2 Y N .names _na1 N # CraneOnTheRight == 1 .names CraneOnTheRight _na1 _na0 .def 0 - =CraneOnTheRight 1 .mv _na3 2 Y N .names _na3 N # CraneOnTheLeft == 1 .names CraneOnTheLeft _na3 _na2 .def 0 - =CraneOnTheLeft 1 # CraneOnTheRight == 1 && CraneOnTheLeft == 1 .names _na0 _na2 _na4 .def 0 1 1 1 .names _na4 _na5 - =_na4 .mv _na7 3 GoLeft GoRight Stop .names _na7 GoLeft # HorizontalMove == 0 .names HorizontalMove _na7 _na6 .def 0 - =HorizontalMove 1 .names _na6 _na8 - =_na6 # HorizontalMove = 2 .mv HorizontalMove$_na6_na9$true 3 GoLeft GoRight Stop .names HorizontalMove$_na6_na9$true Stop # VerticalMove = 1 .mv VerticalMove$_na6_naa$true 3 GoUp GoDown Stop .names VerticalMove$_na6_naa$true GoDown # HorizontalMove = 0 .mv HorizontalMove$_na6_nab$false 3 GoLeft GoRight Stop .names HorizontalMove$_na6_nab$false GoLeft # VerticalMove = 2 .mv VerticalMove$_na6_nac$false 3 GoUp GoDown Stop .names VerticalMove$_na6_nac$false Stop # if/else (HorizontalMove == 0) .mv VerticalMove$_na6$raw_nb0 3 GoUp GoDown Stop .names VerticalMove$_na6_naa$true VerticalMove$_na6_nac$false _na6 VerticalMove$_na6$raw_nb0 - - 0 =VerticalMove$_na6_nac$false - - 1 =VerticalMove$_na6_naa$true .mv HorizontalMove$_na6$raw_nb1 3 GoLeft GoRight Stop .names HorizontalMove$_na6_na9$true HorizontalMove$_na6_nab$false _na6 HorizontalMove$_na6$raw_nb1 - - 0 =HorizontalMove$_na6_nab$false - - 1 =HorizontalMove$_na6_na9$true # HorizontalMove = 0 .mv HorizontalMove$_na4_nb9$false 3 GoLeft GoRight Stop .names HorizontalMove$_na4_nb9$false GoLeft # VerticalMove = 2 .mv VerticalMove$_na4_nba$false 3 GoUp GoDown Stop .names VerticalMove$_na4_nba$false Stop # if/else (CraneOnTheRight == 1 && CraneOnTheLeft == 1) .mv VerticalMove$_na4$raw_nbe 3 GoUp GoDown Stop .names VerticalMove$_na6$raw_nb0 VerticalMove$_na4_nba$false _na4 VerticalMove$_na4$raw_nbe - - 0 =VerticalMove$_na4_nba$false - - 1 =VerticalMove$_na6$raw_nb0 .mv HorizontalMove$_na4$raw_nbf 3 GoLeft GoRight Stop .names HorizontalMove$_na6$raw_nb1 HorizontalMove$_na4_nb9$false _na4 HorizontalMove$_na4$raw_nbf - - 0 =HorizontalMove$_na4_nb9$false - - 1 =HorizontalMove$_na6$raw_nb1 # if/else (CraneOnTheLeft == 0) .mv VerticalMove$_n9b$raw_nca 3 GoUp GoDown Stop .names VerticalMove$_n9b_n9f$true VerticalMove$_na4$raw_nbe _n9b VerticalMove$_n9b$raw_nca - - 0 =VerticalMove$_na4$raw_nbe - - 1 =VerticalMove$_n9b_n9f$true .mv HorizontalMove$_n9b$raw_ncb 3 GoLeft GoRight Stop .names HorizontalMove$_n9b_n9e$true HorizontalMove$_na4$raw_nbf _n9b HorizontalMove$_n9b$raw_ncb - - 0 =HorizontalMove$_na4$raw_nbf - - 1 =HorizontalMove$_n9b_n9e$true .mv _nd5 3 UpMost DBHight FBHight .names _nd5 DBHight .names VerticalPos _nd5 _nd4 .def 0 - =VerticalPos 1 .names _nd4 _nd3 1 1 0 0 .mv _nd7 2 Y N .names _nd7 Y # CraneOnTheLeft == 0 .names CraneOnTheLeft _nd7 _nd6 .def 0 - =CraneOnTheLeft 1 .names _nd6 _nd8 - =_nd6 .mv _nda 3 GoUp GoDown Stop .names _nda GoDown # VerticalMove == 1 .names VerticalMove _nda _nd9 .def 0 - =VerticalMove 1 .names _nd9 _ndb - =_nd9 .mv _ndd 2 Y N .names _ndd Y # FBReady == 0 .names FBReady _ndd _ndc .def 0 - =FBReady 1 .names _ndc _nde - =_ndc # Grip = 1 .mv Grip$_ndc_ndf$true 2 Grab Free .names Grip$_ndc_ndf$true Free # HorizontalMove = 2 .mv HorizontalMove$_ndc_ne0$true 3 GoLeft GoRight Stop .names HorizontalMove$_ndc_ne0$true Stop # VerticalMove = 0 .mv VerticalMove$_ndc_ne1$true 3 GoUp GoDown Stop .names VerticalMove$_ndc_ne1$true GoUp # PieceReleasedOnFB = 0 .mv PieceReleasedOnFB$_ndc_ne2$true 2 Y N .names PieceReleasedOnFB$_ndc_ne2$true Y # Grip = 0 .mv Grip$_ndc_ne3$false 2 Grab Free .names Grip$_ndc_ne3$false Grab # HorizontalMove = 2 .mv HorizontalMove$_ndc_ne4$false 3 GoLeft GoRight Stop .names HorizontalMove$_ndc_ne4$false Stop # VerticalMove = 2 .mv VerticalMove$_ndc_ne5$false 3 GoUp GoDown Stop .names VerticalMove$_ndc_ne5$false Stop # if/else (FBReady == 0) .mv VerticalMove$_ndc$raw_nea 3 GoUp GoDown Stop .names VerticalMove$_ndc_ne1$true VerticalMove$_ndc_ne5$false _ndc VerticalMove$_ndc$raw_nea - - 0 =VerticalMove$_ndc_ne5$false - - 1 =VerticalMove$_ndc_ne1$true .mv HorizontalMove$_ndc$raw_nec 3 GoLeft GoRight Stop .names HorizontalMove$_ndc_ne0$true HorizontalMove$_ndc_ne4$false _ndc HorizontalMove$_ndc$raw_nec - - 0 =HorizontalMove$_ndc_ne4$false - - 1 =HorizontalMove$_ndc_ne0$true .mv Grip$_ndc$raw_ned 2 Grab Free .names Grip$_ndc_ndf$true Grip$_ndc_ne3$false _ndc Grip$_ndc$raw_ned - - 0 =Grip$_ndc_ne3$false - - 1 =Grip$_ndc_ndf$true .mv PieceReleasedOnFB$_ndc$raw_nef 2 Y N .names PieceReleasedOnFB$_ndc_ne2$true PieceReleasedOnFB _ndc PieceReleasedOnFB$_ndc$raw_nef - - 0 =PieceReleasedOnFB - - 1 =PieceReleasedOnFB$_ndc_ne2$true # Grip = 0 .mv Grip$_nd9_nf5$false 2 Grab Free .names Grip$_nd9_nf5$false Grab # HorizontalMove = 2 .mv HorizontalMove$_nd9_nf6$false 3 GoLeft GoRight Stop .names HorizontalMove$_nd9_nf6$false Stop # VerticalMove = 1 .mv VerticalMove$_nd9_nf7$false 3 GoUp GoDown Stop .names VerticalMove$_nd9_nf7$false GoDown # if/else (VerticalMove == 1) .mv VerticalMove$_nd9$raw_nfc 3 GoUp GoDown Stop .names VerticalMove$_ndc$raw_nea VerticalMove$_nd9_nf7$false _nd9 VerticalMove$_nd9$raw_nfc - - 0 =VerticalMove$_nd9_nf7$false - - 1 =VerticalMove$_ndc$raw_nea .mv HorizontalMove$_nd9$raw_nfe 3 GoLeft GoRight Stop .names HorizontalMove$_ndc$raw_nec HorizontalMove$_nd9_nf6$false _nd9 HorizontalMove$_nd9$raw_nfe - - 0 =HorizontalMove$_nd9_nf6$false - - 1 =HorizontalMove$_ndc$raw_nec .mv Grip$_nd9$raw_nff 2 Grab Free .names Grip$_ndc$raw_ned Grip$_nd9_nf5$false _nd9 Grip$_nd9$raw_nff - - 0 =Grip$_nd9_nf5$false - - 1 =Grip$_ndc$raw_ned .mv PieceReleasedOnFB$_nd9$raw_n101 2 Y N .names PieceReleasedOnFB$_ndc$raw_nef PieceReleasedOnFB _nd9 PieceReleasedOnFB$_nd9$raw_n101 - - 0 =PieceReleasedOnFB - - 1 =PieceReleasedOnFB$_ndc$raw_nef # if/else (CraneOnTheLeft == 0) .mv VerticalMove$_nd6$raw_n10f 3 GoUp GoDown Stop .names VerticalMove$_nd9$raw_nfc VerticalMove _nd6 VerticalMove$_nd6$raw_n10f - - 0 =VerticalMove - - 1 =VerticalMove$_nd9$raw_nfc .mv PieceReleasedOnFB$_nd6$raw_n110 2 Y N .names PieceReleasedOnFB$_nd9$raw_n101 PieceReleasedOnFB _nd6 PieceReleasedOnFB$_nd6$raw_n110 - - 0 =PieceReleasedOnFB - - 1 =PieceReleasedOnFB$_nd9$raw_n101 .mv HorizontalMove$_nd6$raw_n111 3 GoLeft GoRight Stop .names HorizontalMove$_nd9$raw_nfe HorizontalMove _nd6 HorizontalMove$_nd6$raw_n111 - - 0 =HorizontalMove - - 1 =HorizontalMove$_nd9$raw_nfe .mv Grip$_nd6$raw_n112 2 Grab Free .names Grip$_nd9$raw_nff Grip _nd6 Grip$_nd6$raw_n112 - - 0 =Grip - - 1 =Grip$_nd9$raw_nff .mv _n114 2 Y N .names _n114 Y # CraneOnTheRight == 0 .names CraneOnTheRight _n114 _n113 .def 0 - =CraneOnTheRight 1 .names _n113 _n115 - =_n113 # Grip = 0 .mv Grip$_n113_n116$true 2 Grab Free .names Grip$_n113_n116$true Grab .mv _n118 3 GoUp GoDown Stop .names _n118 GoUp # VerticalMove == 0 .names VerticalMove$_nd6$raw_n10f _n118 _n117 .def 0 - =VerticalMove$_nd6$raw_n10f 1 .names _n117 _n119 - =_n117 # HorizontalMove = 0 .mv HorizontalMove$_n117_n11a$true 3 GoLeft GoRight Stop .names HorizontalMove$_n117_n11a$true GoLeft # VerticalMove = 2 .mv VerticalMove$_n117_n11b$true 3 GoUp GoDown Stop .names VerticalMove$_n117_n11b$true Stop # HorizontalMove = 2 .mv HorizontalMove$_n117_n11c$false 3 GoLeft GoRight Stop .names HorizontalMove$_n117_n11c$false Stop # VerticalMove = 0 .mv VerticalMove$_n117_n11d$false 3 GoUp GoDown Stop .names VerticalMove$_n117_n11d$false GoUp # if/else (VerticalMove == 0) .mv VerticalMove$_n117$raw_n11e 3 GoUp GoDown Stop .names VerticalMove$_n117_n11b$true VerticalMove$_n117_n11d$false _n117 VerticalMove$_n117$raw_n11e - - 0 =VerticalMove$_n117_n11d$false - - 1 =VerticalMove$_n117_n11b$true .mv HorizontalMove$_n117$raw_n120 3 GoLeft GoRight Stop .names HorizontalMove$_n117_n11a$true HorizontalMove$_n117_n11c$false _n117 HorizontalMove$_n117$raw_n120 - - 0 =HorizontalMove$_n117_n11c$false - - 1 =HorizontalMove$_n117_n11a$true # if/else (CraneOnTheRight == 0) .mv VerticalMove$_n113$raw_n12e 3 GoUp GoDown Stop .names VerticalMove$_n117$raw_n11e VerticalMove$_nd6$raw_n10f _n113 VerticalMove$_n113$raw_n12e - - 0 =VerticalMove$_nd6$raw_n10f - - 1 =VerticalMove$_n117$raw_n11e .mv HorizontalMove$_n113$raw_n130 3 GoLeft GoRight Stop .names HorizontalMove$_n117$raw_n120 HorizontalMove$_nd6$raw_n111 _n113 HorizontalMove$_n113$raw_n130 - - 0 =HorizontalMove$_nd6$raw_n111 - - 1 =HorizontalMove$_n117$raw_n120 .mv Grip$_n113$raw_n131 2 Grab Free .names Grip$_n113_n116$true Grip$_nd6$raw_n112 _n113 Grip$_n113$raw_n131 - - 0 =Grip$_nd6$raw_n112 - - 1 =Grip$_n113_n116$true .mv _n140 3 UpMost DBHight FBHight .names _n140 FBHight .names VerticalPos _n140 _n13f .def 0 - =VerticalPos 1 .names _n13f _n13e 1 1 0 0 .mv _n142 2 Y N .names _n142 Y # CraneOnTheLeft == 0 .names CraneOnTheLeft _n142 _n141 .def 0 - =CraneOnTheLeft 1 .names _n141 _n143 - =_n141 .mv _n145 2 Y N .names _n145 Y # FBReady == 0 .names FBReady _n145 _n144 .def 0 - =FBReady 1 .names _n144 _n146 - =_n144 # Grip = 1 .mv Grip$_n144_n147$true 2 Grab Free .names Grip$_n144_n147$true Free # HorizontalMove = 2 .mv HorizontalMove$_n144_n148$true 3 GoLeft GoRight Stop .names HorizontalMove$_n144_n148$true Stop # VerticalMove = 0 .mv VerticalMove$_n144_n149$true 3 GoUp GoDown Stop .names VerticalMove$_n144_n149$true GoUp # PieceReleasedOnFB = 0 .mv PieceReleasedOnFB$_n144_n14a$true 2 Y N .names PieceReleasedOnFB$_n144_n14a$true Y # Grip = 0 .mv Grip$_n144_n14b$false 2 Grab Free .names Grip$_n144_n14b$false Grab # HorizontalMove = 2 .mv HorizontalMove$_n144_n14c$false 3 GoLeft GoRight Stop .names HorizontalMove$_n144_n14c$false Stop # VerticalMove = 2 .mv VerticalMove$_n144_n14d$false 3 GoUp GoDown Stop .names VerticalMove$_n144_n14d$false Stop # if/else (FBReady == 0) .mv VerticalMove$_n144$raw_n152 3 GoUp GoDown Stop .names VerticalMove$_n144_n149$true VerticalMove$_n144_n14d$false _n144 VerticalMove$_n144$raw_n152 - - 0 =VerticalMove$_n144_n14d$false - - 1 =VerticalMove$_n144_n149$true .mv HorizontalMove$_n144$raw_n154 3 GoLeft GoRight Stop .names HorizontalMove$_n144_n148$true HorizontalMove$_n144_n14c$false _n144 HorizontalMove$_n144$raw_n154 - - 0 =HorizontalMove$_n144_n14c$false - - 1 =HorizontalMove$_n144_n148$true .mv Grip$_n144$raw_n155 2 Grab Free .names Grip$_n144_n147$true Grip$_n144_n14b$false _n144 Grip$_n144$raw_n155 - - 0 =Grip$_n144_n14b$false - - 1 =Grip$_n144_n147$true .mv PieceReleasedOnFB$_n144$raw_n157 2 Y N .names PieceReleasedOnFB$_n144_n14a$true PieceReleasedOnFB _n144 PieceReleasedOnFB$_n144$raw_n157 - - 0 =PieceReleasedOnFB - - 1 =PieceReleasedOnFB$_n144_n14a$true # if/else (CraneOnTheLeft == 0) .mv VerticalMove$_n141$raw_n165 3 GoUp GoDown Stop .names VerticalMove$_n144$raw_n152 VerticalMove _n141 VerticalMove$_n141$raw_n165 - - 0 =VerticalMove - - 1 =VerticalMove$_n144$raw_n152 .mv PieceReleasedOnFB$_n141$raw_n166 2 Y N .names PieceReleasedOnFB$_n144$raw_n157 PieceReleasedOnFB _n141 PieceReleasedOnFB$_n141$raw_n166 - - 0 =PieceReleasedOnFB - - 1 =PieceReleasedOnFB$_n144$raw_n157 .mv HorizontalMove$_n141$raw_n167 3 GoLeft GoRight Stop .names HorizontalMove$_n144$raw_n154 HorizontalMove _n141 HorizontalMove$_n141$raw_n167 - - 0 =HorizontalMove - - 1 =HorizontalMove$_n144$raw_n154 .mv Grip$_n141$raw_n168 2 Grab Free .names Grip$_n144$raw_n155 Grip _n141 Grip$_n141$raw_n168 - - 0 =Grip - - 1 =Grip$_n144$raw_n155 # case (VerticalPos ) .mv VerticalMove$_n13e$raw_n171 3 GoUp GoDown Stop .names VerticalMove$_n141$raw_n165 VerticalMove _n13e VerticalMove$_n13e$raw_n171 - - 0 =VerticalMove - - 1 =VerticalMove$_n141$raw_n165 .mv PieceReleasedOnFB$_n13e$raw_n172 2 Y N .names PieceReleasedOnFB$_n141$raw_n166 PieceReleasedOnFB _n13e PieceReleasedOnFB$_n13e$raw_n172 - - 0 =PieceReleasedOnFB - - 1 =PieceReleasedOnFB$_n141$raw_n166 .mv HorizontalMove$_n13e$raw_n173 3 GoLeft GoRight Stop .names HorizontalMove$_n141$raw_n167 HorizontalMove _n13e HorizontalMove$_n13e$raw_n173 - - 0 =HorizontalMove - - 1 =HorizontalMove$_n141$raw_n167 .mv Grip$_n13e$raw_n174 2 Grab Free .names Grip$_n141$raw_n168 Grip _n13e Grip$_n13e$raw_n174 - - 0 =Grip - - 1 =Grip$_n141$raw_n168 .mv VerticalMove$_nd3$raw_n175 3 GoUp GoDown Stop .names VerticalMove$_n113$raw_n12e VerticalMove$_n13e$raw_n171 _nd3 VerticalMove$_nd3$raw_n175 - - 0 =VerticalMove$_n13e$raw_n171 - - 1 =VerticalMove$_n113$raw_n12e .mv PieceReleasedOnFB$_nd3$raw_n176 2 Y N .names PieceReleasedOnFB$_nd6$raw_n110 PieceReleasedOnFB$_n13e$raw_n172 _nd3 PieceReleasedOnFB$_nd3$raw_n176 - - 0 =PieceReleasedOnFB$_n13e$raw_n172 - - 1 =PieceReleasedOnFB$_nd6$raw_n110 .mv HorizontalMove$_nd3$raw_n177 3 GoLeft GoRight Stop .names HorizontalMove$_n113$raw_n130 HorizontalMove$_n13e$raw_n173 _nd3 HorizontalMove$_nd3$raw_n177 - - 0 =HorizontalMove$_n13e$raw_n173 - - 1 =HorizontalMove$_n113$raw_n130 .mv Grip$_nd3$raw_n178 2 Grab Free .names Grip$_n113$raw_n131 Grip$_n13e$raw_n174 _nd3 Grip$_nd3$raw_n178 - - 0 =Grip$_n13e$raw_n174 - - 1 =Grip$_n113$raw_n131 .mv VerticalMove$_n97$raw_n185 3 GoUp GoDown Stop .names VerticalMove$_n9b$raw_nca VerticalMove$_nd3$raw_n175 _n97 VerticalMove$_n97$raw_n185 - - 0 =VerticalMove$_nd3$raw_n175 - - 1 =VerticalMove$_n9b$raw_nca .mv HorizontalMove$_n97$raw_n186 3 GoLeft GoRight Stop .names HorizontalMove$_n9b$raw_ncb HorizontalMove$_nd3$raw_n177 _n97 HorizontalMove$_n97$raw_n186 - - 0 =HorizontalMove$_nd3$raw_n177 - - 1 =HorizontalMove$_n9b$raw_ncb .mv Grip$_n97$raw_n187 2 Grab Free .names Grip$_n97_n9a$true Grip$_nd3$raw_n178 _n97 Grip$_n97$raw_n187 - - 0 =Grip$_nd3$raw_n178 - - 1 =Grip$_n97_n9a$true .mv PieceReleasedOnFB$_n97$raw_n18f 2 Y N .names PieceReleasedOnFB PieceReleasedOnFB$_nd3$raw_n176 _n97 PieceReleasedOnFB$_n97$raw_n18f - - 0 =PieceReleasedOnFB$_nd3$raw_n176 - - 1 =PieceReleasedOnFB .mv _n194 2 Grab Free .names _n194 Free .names Grip _n194 _n193 .def 0 - =Grip 1 .names _n193 _n192 1 1 0 0 .mv _n197 3 UpMost DBHight FBHight .names _n197 UpMost .names VerticalPos _n197 _n196 .def 0 - =VerticalPos 1 .names _n196 _n195 1 1 0 0 .mv _n199 2 Y N .names _n199 Y # CraneOnTheLeft == 0 .names CraneOnTheLeft _n199 _n198 .def 0 - =CraneOnTheLeft 1 .names _n198 _n19a - =_n198 # Grip = 1 .mv Grip$_n198_n19b$true 2 Grab Free .names Grip$_n198_n19b$true Free # HorizontalMove = 1 .mv HorizontalMove$_n198_n19c$true 3 GoLeft GoRight Stop .names HorizontalMove$_n198_n19c$true GoRight # VerticalMove = 2 .mv VerticalMove$_n198_n19d$true 3 GoUp GoDown Stop .names VerticalMove$_n198_n19d$true Stop .mv _n19f 2 Y N .names _n19f N # CraneOnTheRight == 1 .names CraneOnTheRight _n19f _n19e .def 0 - =CraneOnTheRight 1 .names _n19e _n1a0 - =_n19e .mv _n1a2 3 GoLeft GoRight Stop .names _n1a2 GoRight # HorizontalMove == 1 .names HorizontalMove _n1a2 _n1a1 .def 0 - =HorizontalMove 1 .names _n1a1 _n1a3 - =_n1a1 # Grip = 1 .mv Grip$_n1a1_n1a4$true 2 Grab Free .names Grip$_n1a1_n1a4$true Free # HorizontalMove = 2 .mv HorizontalMove$_n1a1_n1a5$true 3 GoLeft GoRight Stop .names HorizontalMove$_n1a1_n1a5$true Stop # VerticalMove = 1 .mv VerticalMove$_n1a1_n1a6$true 3 GoUp GoDown Stop .names VerticalMove$_n1a1_n1a6$true GoDown # Grip = 1 .mv Grip$_n1a1_n1a7$false 2 Grab Free .names Grip$_n1a1_n1a7$false Free # HorizontalMove = 1 .mv HorizontalMove$_n1a1_n1a8$false 3 GoLeft GoRight Stop .names HorizontalMove$_n1a1_n1a8$false GoRight # VerticalMove = 2 .mv VerticalMove$_n1a1_n1a9$false 3 GoUp GoDown Stop .names VerticalMove$_n1a1_n1a9$false Stop # if/else (HorizontalMove == 1) .mv VerticalMove$_n1a1$raw_n1ad 3 GoUp GoDown Stop .names VerticalMove$_n1a1_n1a6$true VerticalMove$_n1a1_n1a9$false _n1a1 VerticalMove$_n1a1$raw_n1ad - - 0 =VerticalMove$_n1a1_n1a9$false - - 1 =VerticalMove$_n1a1_n1a6$true .mv HorizontalMove$_n1a1$raw_n1ae 3 GoLeft GoRight Stop .names HorizontalMove$_n1a1_n1a5$true HorizontalMove$_n1a1_n1a8$false _n1a1 HorizontalMove$_n1a1$raw_n1ae - - 0 =HorizontalMove$_n1a1_n1a8$false - - 1 =HorizontalMove$_n1a1_n1a5$true .mv Grip$_n1a1$raw_n1af 2 Grab Free .names Grip$_n1a1_n1a4$true Grip$_n1a1_n1a7$false _n1a1 Grip$_n1a1$raw_n1af - - 0 =Grip$_n1a1_n1a7$false - - 1 =Grip$_n1a1_n1a4$true .mv _n1b7 2 Y N .names _n1b7 Y # PieceOutDB == 0 .names PieceOutDB _n1b7 _n1b6 .def 0 - =PieceOutDB 1 .names _n1b6 _n1b8 - =_n1b6 .mv _n1ba 3 GoUp GoDown Stop .names _n1ba GoDown # VerticalMove == 1 .names VerticalMove _n1ba _n1b9 .def 0 - =VerticalMove 1 .names _n1b9 _n1bb - =_n1b9 # Grip = 0 .mv Grip$_n1b9_n1bc$true 2 Grab Free .names Grip$_n1b9_n1bc$true Grab # HorizontalMove = 2 .mv HorizontalMove$_n1b9_n1bd$true 3 GoLeft GoRight Stop .names HorizontalMove$_n1b9_n1bd$true Stop # VerticalMove = 0 .mv VerticalMove$_n1b9_n1be$true 3 GoUp GoDown Stop .names VerticalMove$_n1b9_n1be$true GoUp # PieceGrabbedFromDB = 0 .mv PieceGrabbedFromDB$_n1b9_n1bf$true 2 Y N .names PieceGrabbedFromDB$_n1b9_n1bf$true Y # Grip = 1 .mv Grip$_n1b9_n1c0$false 2 Grab Free .names Grip$_n1b9_n1c0$false Free # HorizontalMove = 2 .mv HorizontalMove$_n1b9_n1c1$false 3 GoLeft GoRight Stop .names HorizontalMove$_n1b9_n1c1$false Stop # VerticalMove = 1 .mv VerticalMove$_n1b9_n1c2$false 3 GoUp GoDown Stop .names VerticalMove$_n1b9_n1c2$false GoDown # if/else (VerticalMove == 1) .mv VerticalMove$_n1b9$raw_n1c7 3 GoUp GoDown Stop .names VerticalMove$_n1b9_n1be$true VerticalMove$_n1b9_n1c2$false _n1b9 VerticalMove$_n1b9$raw_n1c7 - - 0 =VerticalMove$_n1b9_n1c2$false - - 1 =VerticalMove$_n1b9_n1be$true .mv HorizontalMove$_n1b9$raw_n1c9 3 GoLeft GoRight Stop .names HorizontalMove$_n1b9_n1bd$true HorizontalMove$_n1b9_n1c1$false _n1b9 HorizontalMove$_n1b9$raw_n1c9 - - 0 =HorizontalMove$_n1b9_n1c1$false - - 1 =HorizontalMove$_n1b9_n1bd$true .mv Grip$_n1b9$raw_n1ca 2 Grab Free .names Grip$_n1b9_n1bc$true Grip$_n1b9_n1c0$false _n1b9 Grip$_n1b9$raw_n1ca - - 0 =Grip$_n1b9_n1c0$false - - 1 =Grip$_n1b9_n1bc$true .mv PieceGrabbedFromDB$_n1b9$raw_n1cc 2 Y N .names PieceGrabbedFromDB$_n1b9_n1bf$true PieceGrabbedFromDB _n1b9 PieceGrabbedFromDB$_n1b9$raw_n1cc - - 0 =PieceGrabbedFromDB - - 1 =PieceGrabbedFromDB$_n1b9_n1bf$true # Grip = 1 .mv Grip$_n1b6_n1d2$false 2 Grab Free .names Grip$_n1b6_n1d2$false Free # HorizontalMove = 2 .mv HorizontalMove$_n1b6_n1d3$false 3 GoLeft GoRight Stop .names HorizontalMove$_n1b6_n1d3$false Stop .mv _n1d5 3 GoUp GoDown Stop .names _n1d5 GoDown # VerticalMove == 1 .names VerticalMove _n1d5 _n1d4 .def 0 - =VerticalMove 1 .names _n1d4 _n1d6 - =_n1d4 # VerticalMove = 2 .mv VerticalMove$_n1d4_n1d7$true 3 GoUp GoDown Stop .names VerticalMove$_n1d4_n1d7$true Stop # VerticalMove = 1 .mv VerticalMove$_n1d4_n1d8$false 3 GoUp GoDown Stop .names VerticalMove$_n1d4_n1d8$false GoDown # if/else (VerticalMove == 1) .mv VerticalMove$_n1d4$raw_n1dc 3 GoUp GoDown Stop .names VerticalMove$_n1d4_n1d7$true VerticalMove$_n1d4_n1d8$false _n1d4 VerticalMove$_n1d4$raw_n1dc - - 0 =VerticalMove$_n1d4_n1d8$false - - 1 =VerticalMove$_n1d4_n1d7$true # if/else (PieceOutDB == 0) .mv VerticalMove$_n1b6$raw_n1e9 3 GoUp GoDown Stop .names VerticalMove$_n1b9$raw_n1c7 VerticalMove$_n1d4$raw_n1dc _n1b6 VerticalMove$_n1b6$raw_n1e9 - - 0 =VerticalMove$_n1d4$raw_n1dc - - 1 =VerticalMove$_n1b9$raw_n1c7 .mv HorizontalMove$_n1b6$raw_n1eb 3 GoLeft GoRight Stop .names HorizontalMove$_n1b9$raw_n1c9 HorizontalMove$_n1b6_n1d3$false _n1b6 HorizontalMove$_n1b6$raw_n1eb - - 0 =HorizontalMove$_n1b6_n1d3$false - - 1 =HorizontalMove$_n1b9$raw_n1c9 .mv Grip$_n1b6$raw_n1ec 2 Grab Free .names Grip$_n1b9$raw_n1ca Grip$_n1b6_n1d2$false _n1b6 Grip$_n1b6$raw_n1ec - - 0 =Grip$_n1b6_n1d2$false - - 1 =Grip$_n1b9$raw_n1ca .mv PieceGrabbedFromDB$_n1b6$raw_n1ee 2 Y N .names PieceGrabbedFromDB$_n1b9$raw_n1cc PieceGrabbedFromDB _n1b6 PieceGrabbedFromDB$_n1b6$raw_n1ee - - 0 =PieceGrabbedFromDB - - 1 =PieceGrabbedFromDB$_n1b9$raw_n1cc # if/else (CraneOnTheRight == 1) .mv VerticalMove$_n19e$raw_n1f7 3 GoUp GoDown Stop .names VerticalMove$_n1a1$raw_n1ad VerticalMove$_n1b6$raw_n1e9 _n19e VerticalMove$_n19e$raw_n1f7 - - 0 =VerticalMove$_n1b6$raw_n1e9 - - 1 =VerticalMove$_n1a1$raw_n1ad .mv HorizontalMove$_n19e$raw_n1f8 3 GoLeft GoRight Stop .names HorizontalMove$_n1a1$raw_n1ae HorizontalMove$_n1b6$raw_n1eb _n19e HorizontalMove$_n19e$raw_n1f8 - - 0 =HorizontalMove$_n1b6$raw_n1eb - - 1 =HorizontalMove$_n1a1$raw_n1ae .mv Grip$_n19e$raw_n1f9 2 Grab Free .names Grip$_n1a1$raw_n1af Grip$_n1b6$raw_n1ec _n19e Grip$_n19e$raw_n1f9 - - 0 =Grip$_n1b6$raw_n1ec - - 1 =Grip$_n1a1$raw_n1af .mv PieceGrabbedFromDB$_n19e$raw_n1fe 2 Y N .names PieceGrabbedFromDB PieceGrabbedFromDB$_n1b6$raw_n1ee _n19e PieceGrabbedFromDB$_n19e$raw_n1fe - - 0 =PieceGrabbedFromDB$_n1b6$raw_n1ee - - 1 =PieceGrabbedFromDB # if/else (CraneOnTheLeft == 0) .mv VerticalMove$_n198$raw_n204 3 GoUp GoDown Stop .names VerticalMove$_n198_n19d$true VerticalMove$_n19e$raw_n1f7 _n198 VerticalMove$_n198$raw_n204 - - 0 =VerticalMove$_n19e$raw_n1f7 - - 1 =VerticalMove$_n198_n19d$true .mv HorizontalMove$_n198$raw_n205 3 GoLeft GoRight Stop .names HorizontalMove$_n198_n19c$true HorizontalMove$_n19e$raw_n1f8 _n198 HorizontalMove$_n198$raw_n205 - - 0 =HorizontalMove$_n19e$raw_n1f8 - - 1 =HorizontalMove$_n198_n19c$true .mv Grip$_n198$raw_n206 2 Grab Free .names Grip$_n198_n19b$true Grip$_n19e$raw_n1f9 _n198 Grip$_n198$raw_n206 - - 0 =Grip$_n19e$raw_n1f9 - - 1 =Grip$_n198_n19b$true .mv PieceGrabbedFromDB$_n198$raw_n20b 2 Y N .names PieceGrabbedFromDB PieceGrabbedFromDB$_n19e$raw_n1fe _n198 PieceGrabbedFromDB$_n198$raw_n20b - - 0 =PieceGrabbedFromDB$_n19e$raw_n1fe - - 1 =PieceGrabbedFromDB .mv _n210 3 UpMost DBHight FBHight .names _n210 DBHight .names VerticalPos _n210 _n20f .def 0 - =VerticalPos 1 .names _n20f _n20e 1 1 0 0 .mv _n212 2 Y N .names _n212 Y # CraneOnTheLeft == 0 .names CraneOnTheLeft _n212 _n211 .def 0 - =CraneOnTheLeft 1 .names _n211 _n213 - =_n211 # Grip = 1 .mv Grip$_n211_n214$true 2 Grab Free .names Grip$_n211_n214$true Free .mv _n216 3 GoUp GoDown Stop .names _n216 GoUp # VerticalMove == 0 .names VerticalMove _n216 _n215 .def 0 - =VerticalMove 1 .names _n215 _n217 - =_n215 # HorizontalMove = 1 .mv HorizontalMove$_n215_n218$true 3 GoLeft GoRight Stop .names HorizontalMove$_n215_n218$true GoRight # VerticalMove = 2 .mv VerticalMove$_n215_n219$true 3 GoUp GoDown Stop .names VerticalMove$_n215_n219$true Stop # HorizontalMove = 2 .mv HorizontalMove$_n215_n21a$false 3 GoLeft GoRight Stop .names HorizontalMove$_n215_n21a$false Stop # VerticalMove = 0 .mv VerticalMove$_n215_n21b$false 3 GoUp GoDown Stop .names VerticalMove$_n215_n21b$false GoUp # if/else (VerticalMove == 0) .mv VerticalMove$_n215$raw_n21f 3 GoUp GoDown Stop .names VerticalMove$_n215_n219$true VerticalMove$_n215_n21b$false _n215 VerticalMove$_n215$raw_n21f - - 0 =VerticalMove$_n215_n21b$false - - 1 =VerticalMove$_n215_n219$true .mv HorizontalMove$_n215$raw_n220 3 GoLeft GoRight Stop .names HorizontalMove$_n215_n218$true HorizontalMove$_n215_n21a$false _n215 HorizontalMove$_n215$raw_n220 - - 0 =HorizontalMove$_n215_n21a$false - - 1 =HorizontalMove$_n215_n218$true # if/else (CraneOnTheLeft == 0) .mv VerticalMove$_n211$raw_n22e 3 GoUp GoDown Stop .names VerticalMove$_n215$raw_n21f VerticalMove _n211 VerticalMove$_n211$raw_n22e - - 0 =VerticalMove - - 1 =VerticalMove$_n215$raw_n21f .mv HorizontalMove$_n211$raw_n22f 3 GoLeft GoRight Stop .names HorizontalMove$_n215$raw_n220 HorizontalMove _n211 HorizontalMove$_n211$raw_n22f - - 0 =HorizontalMove - - 1 =HorizontalMove$_n215$raw_n220 .mv Grip$_n211$raw_n230 2 Grab Free .names Grip$_n211_n214$true Grip _n211 Grip$_n211$raw_n230 - - 0 =Grip - - 1 =Grip$_n211_n214$true .mv _n232 2 Y N .names _n232 Y # CraneOnTheRight == 0 .names CraneOnTheRight _n232 _n231 .def 0 - =CraneOnTheRight 1 .names _n231 _n233 - =_n231 .mv _n235 2 Y N .names _n235 Y # PieceOutDB == 0 .names PieceOutDB _n235 _n234 .def 0 - =PieceOutDB 1 .names _n234 _n236 - =_n234 # Grip = 0 .mv Grip$_n234_n237$true 2 Grab Free .names Grip$_n234_n237$true Grab # HorizontalMove = 2 .mv HorizontalMove$_n234_n238$true 3 GoLeft GoRight Stop .names HorizontalMove$_n234_n238$true Stop # VerticalMove = 0 .mv VerticalMove$_n234_n239$true 3 GoUp GoDown Stop .names VerticalMove$_n234_n239$true GoUp # PieceGrabbedFromDB = 0 .mv PieceGrabbedFromDB$_n234_n23a$true 2 Y N .names PieceGrabbedFromDB$_n234_n23a$true Y # Grip = 1 .mv Grip$_n234_n23b$false 2 Grab Free .names Grip$_n234_n23b$false Free # HorizontalMove = 2 .mv HorizontalMove$_n234_n23c$false 3 GoLeft GoRight Stop .names HorizontalMove$_n234_n23c$false Stop # VerticalMove = 2 .mv VerticalMove$_n234_n23d$false 3 GoUp GoDown Stop .names VerticalMove$_n234_n23d$false Stop # if/else (PieceOutDB == 0) .mv VerticalMove$_n234$raw_n23e 3 GoUp GoDown Stop .names VerticalMove$_n234_n239$true VerticalMove$_n234_n23d$false _n234 VerticalMove$_n234$raw_n23e - - 0 =VerticalMove$_n234_n23d$false - - 1 =VerticalMove$_n234_n239$true .mv HorizontalMove$_n234$raw_n240 3 GoLeft GoRight Stop .names HorizontalMove$_n234_n238$true HorizontalMove$_n234_n23c$false _n234 HorizontalMove$_n234$raw_n240 - - 0 =HorizontalMove$_n234_n23c$false - - 1 =HorizontalMove$_n234_n238$true .mv Grip$_n234$raw_n241 2 Grab Free .names Grip$_n234_n237$true Grip$_n234_n23b$false _n234 Grip$_n234$raw_n241 - - 0 =Grip$_n234_n23b$false - - 1 =Grip$_n234_n237$true .mv PieceGrabbedFromDB$_n234$raw_n247 2 Y N .names PieceGrabbedFromDB$_n234_n23a$true PieceGrabbedFromDB _n234 PieceGrabbedFromDB$_n234$raw_n247 - - 0 =PieceGrabbedFromDB - - 1 =PieceGrabbedFromDB$_n234_n23a$true # if/else (CraneOnTheRight == 0) .mv VerticalMove$_n231$raw_n24d 3 GoUp GoDown Stop .names VerticalMove$_n234$raw_n23e VerticalMove$_n211$raw_n22e _n231 VerticalMove$_n231$raw_n24d - - 0 =VerticalMove$_n211$raw_n22e - - 1 =VerticalMove$_n234$raw_n23e .mv HorizontalMove$_n231$raw_n24f 3 GoLeft GoRight Stop .names HorizontalMove$_n234$raw_n240 HorizontalMove$_n211$raw_n22f _n231 HorizontalMove$_n231$raw_n24f - - 0 =HorizontalMove$_n211$raw_n22f - - 1 =HorizontalMove$_n234$raw_n240 .mv Grip$_n231$raw_n250 2 Grab Free .names Grip$_n234$raw_n241 Grip$_n211$raw_n230 _n231 Grip$_n231$raw_n250 - - 0 =Grip$_n211$raw_n230 - - 1 =Grip$_n234$raw_n241 .mv PieceGrabbedFromDB$_n231$raw_n256 2 Y N .names PieceGrabbedFromDB$_n234$raw_n247 PieceGrabbedFromDB _n231 PieceGrabbedFromDB$_n231$raw_n256 - - 0 =PieceGrabbedFromDB - - 1 =PieceGrabbedFromDB$_n234$raw_n247 .mv _n25e 3 UpMost DBHight FBHight .names _n25e FBHight .names VerticalPos _n25e _n25d .def 0 - =VerticalPos 1 .names _n25d _n25c 1 1 0 0 .mv _n260 2 Y N .names _n260 Y # CraneOnTheLeft == 0 .names CraneOnTheLeft _n260 _n25f .def 0 - =CraneOnTheLeft 1 .names _n25f _n261 - =_n25f # Grip = 1 .mv Grip$_n25f_n262$true 2 Grab Free .names Grip$_n25f_n262$true Free # HorizontalMove = 2 .mv HorizontalMove$_n25f_n263$true 3 GoLeft GoRight Stop .names HorizontalMove$_n25f_n263$true Stop # VerticalMove = 0 .mv VerticalMove$_n25f_n264$true 3 GoUp GoDown Stop .names VerticalMove$_n25f_n264$true GoUp # if/else (CraneOnTheLeft == 0) .mv VerticalMove$_n25f$raw_n26b 3 GoUp GoDown Stop .names VerticalMove$_n25f_n264$true VerticalMove _n25f VerticalMove$_n25f$raw_n26b - - 0 =VerticalMove - - 1 =VerticalMove$_n25f_n264$true .mv HorizontalMove$_n25f$raw_n26c 3 GoLeft GoRight Stop .names HorizontalMove$_n25f_n263$true HorizontalMove _n25f HorizontalMove$_n25f$raw_n26c - - 0 =HorizontalMove - - 1 =HorizontalMove$_n25f_n263$true .mv Grip$_n25f$raw_n26d 2 Grab Free .names Grip$_n25f_n262$true Grip _n25f Grip$_n25f$raw_n26d - - 0 =Grip - - 1 =Grip$_n25f_n262$true # case (VerticalPos ) .mv VerticalMove$_n25c$raw_n274 3 GoUp GoDown Stop .names VerticalMove$_n25f$raw_n26b VerticalMove _n25c VerticalMove$_n25c$raw_n274 - - 0 =VerticalMove - - 1 =VerticalMove$_n25f$raw_n26b .mv HorizontalMove$_n25c$raw_n275 3 GoLeft GoRight Stop .names HorizontalMove$_n25f$raw_n26c HorizontalMove _n25c HorizontalMove$_n25c$raw_n275 - - 0 =HorizontalMove - - 1 =HorizontalMove$_n25f$raw_n26c .mv Grip$_n25c$raw_n276 2 Grab Free .names Grip$_n25f$raw_n26d Grip _n25c Grip$_n25c$raw_n276 - - 0 =Grip - - 1 =Grip$_n25f$raw_n26d .mv VerticalMove$_n20e$raw_n277 3 GoUp GoDown Stop .names VerticalMove$_n231$raw_n24d VerticalMove$_n25c$raw_n274 _n20e VerticalMove$_n20e$raw_n277 - - 0 =VerticalMove$_n25c$raw_n274 - - 1 =VerticalMove$_n231$raw_n24d .mv HorizontalMove$_n20e$raw_n279 3 GoLeft GoRight Stop .names HorizontalMove$_n231$raw_n24f HorizontalMove$_n25c$raw_n275 _n20e HorizontalMove$_n20e$raw_n279 - - 0 =HorizontalMove$_n25c$raw_n275 - - 1 =HorizontalMove$_n231$raw_n24f .mv Grip$_n20e$raw_n27a 2 Grab Free .names Grip$_n231$raw_n250 Grip$_n25c$raw_n276 _n20e Grip$_n20e$raw_n27a - - 0 =Grip$_n25c$raw_n276 - - 1 =Grip$_n231$raw_n250 .mv PieceGrabbedFromDB$_n20e$raw_n280 2 Y N .names PieceGrabbedFromDB$_n231$raw_n256 PieceGrabbedFromDB _n20e PieceGrabbedFromDB$_n20e$raw_n280 - - 0 =PieceGrabbedFromDB - - 1 =PieceGrabbedFromDB$_n231$raw_n256 .mv VerticalMove$_n195$raw_n286 3 GoUp GoDown Stop .names VerticalMove$_n198$raw_n204 VerticalMove$_n20e$raw_n277 _n195 VerticalMove$_n195$raw_n286 - - 0 =VerticalMove$_n20e$raw_n277 - - 1 =VerticalMove$_n198$raw_n204 .mv PieceGrabbedFromDB$_n195$raw_n287 2 Y N .names PieceGrabbedFromDB$_n198$raw_n20b PieceGrabbedFromDB$_n20e$raw_n280 _n195 PieceGrabbedFromDB$_n195$raw_n287 - - 0 =PieceGrabbedFromDB$_n20e$raw_n280 - - 1 =PieceGrabbedFromDB$_n198$raw_n20b .mv HorizontalMove$_n195$raw_n288 3 GoLeft GoRight Stop .names HorizontalMove$_n198$raw_n205 HorizontalMove$_n20e$raw_n279 _n195 HorizontalMove$_n195$raw_n288 - - 0 =HorizontalMove$_n20e$raw_n279 - - 1 =HorizontalMove$_n198$raw_n205 .mv Grip$_n195$raw_n289 2 Grab Free .names Grip$_n198$raw_n206 Grip$_n20e$raw_n27a _n195 Grip$_n195$raw_n289 - - 0 =Grip$_n20e$raw_n27a - - 1 =Grip$_n198$raw_n206 # case (Grip ) .mv VerticalMove$_n192$raw_n29e 3 GoUp GoDown Stop .names VerticalMove$_n195$raw_n286 VerticalMove _n192 VerticalMove$_n192$raw_n29e - - 0 =VerticalMove - - 1 =VerticalMove$_n195$raw_n286 .mv PieceGrabbedFromDB$_n192$raw_n29f 2 Y N .names PieceGrabbedFromDB$_n195$raw_n287 PieceGrabbedFromDB _n192 PieceGrabbedFromDB$_n192$raw_n29f - - 0 =PieceGrabbedFromDB - - 1 =PieceGrabbedFromDB$_n195$raw_n287 .mv HorizontalMove$_n192$raw_n2a0 3 GoLeft GoRight Stop .names HorizontalMove$_n195$raw_n288 HorizontalMove _n192 HorizontalMove$_n192$raw_n2a0 - - 0 =HorizontalMove - - 1 =HorizontalMove$_n195$raw_n288 .mv Grip$_n192$raw_n2a1 2 Grab Free .names Grip$_n195$raw_n289 Grip _n192 Grip$_n192$raw_n2a1 - - 0 =Grip - - 1 =Grip$_n195$raw_n289 .mv VerticalMove$_n94$raw_n2a2 3 GoUp GoDown Stop .names VerticalMove$_n97$raw_n185 VerticalMove$_n192$raw_n29e _n94 VerticalMove$_n94$raw_n2a2 - - 0 =VerticalMove$_n192$raw_n29e - - 1 =VerticalMove$_n97$raw_n185 .mv HorizontalMove$_n94$raw_n2a4 3 GoLeft GoRight Stop .names HorizontalMove$_n97$raw_n186 HorizontalMove$_n192$raw_n2a0 _n94 HorizontalMove$_n94$raw_n2a4 - - 0 =HorizontalMove$_n192$raw_n2a0 - - 1 =HorizontalMove$_n97$raw_n186 .mv Grip$_n94$raw_n2a5 2 Grab Free .names Grip$_n97$raw_n187 Grip$_n192$raw_n2a1 _n94 Grip$_n94$raw_n2a5 - - 0 =Grip$_n192$raw_n2a1 - - 1 =Grip$_n97$raw_n187 .mv PieceReleasedOnFB$_n94$raw_n2ab 2 Y N .names PieceReleasedOnFB$_n97$raw_n18f PieceReleasedOnFB _n94 PieceReleasedOnFB$_n94$raw_n2ab - - 0 =PieceReleasedOnFB - - 1 =PieceReleasedOnFB$_n97$raw_n18f .mv PieceGrabbedFromDB$_n94$raw_n2af 2 Y N .names PieceGrabbedFromDB PieceGrabbedFromDB$_n192$raw_n29f _n94 PieceGrabbedFromDB$_n94$raw_n2af - - 0 =PieceGrabbedFromDB$_n192$raw_n29f - - 1 =PieceGrabbedFromDB .mv _n2b3 2 Y N .names _n2b3 N # PieceOutDB == 1 .names PieceOutDB _n2b3 _n2b2 .def 0 - =PieceOutDB 1 .mv _n2b5 2 Y N .names _n2b5 Y # PieceGrabbedFromDB == 0 .names PieceGrabbedFromDB$_n94$raw_n2af _n2b5 _n2b4 .def 0 - =PieceGrabbedFromDB$_n94$raw_n2af 1 # PieceOutDB == 1 && PieceGrabbedFromDB == 0 .names _n2b2 _n2b4 _n2b6 .def 0 1 1 1 .names _n2b6 _n2b7 - =_n2b6 # PieceGrabbedFromDB = 1 .mv PieceGrabbedFromDB$_n2b6_n2b8$true 2 Y N .names PieceGrabbedFromDB$_n2b6_n2b8$true N # if/else (PieceOutDB == 1 && PieceGrabbedFromDB == 0) .mv PieceGrabbedFromDB$_n2b6$raw_n2ba 2 Y N .names PieceGrabbedFromDB$_n2b6_n2b8$true PieceGrabbedFromDB$_n94$raw_n2af _n2b6 PieceGrabbedFromDB$_n2b6$raw_n2ba - - 0 =PieceGrabbedFromDB$_n94$raw_n2af - - 1 =PieceGrabbedFromDB$_n2b6_n2b8$true .mv _n2ce 2 Y N .names _n2ce N # FBReady == 1 .names FBReady _n2ce _n2cd .def 0 - =FBReady 1 .mv _n2d0 2 Y N .names _n2d0 Y # PieceReleasedOnFB == 0 .names PieceReleasedOnFB$_n94$raw_n2ab _n2d0 _n2cf .def 0 - =PieceReleasedOnFB$_n94$raw_n2ab 1 # FBReady == 1 && PieceReleasedOnFB == 0 .names _n2cd _n2cf _n2d1 .def 0 1 1 1 .names _n2d1 _n2d2 - =_n2d1 # PieceReleasedOnFB = 1 .mv PieceReleasedOnFB$_n2d1_n2d3$true 2 Y N .names PieceReleasedOnFB$_n2d1_n2d3$true N # if/else (FBReady == 1 && PieceReleasedOnFB == 0) .mv PieceReleasedOnFB$_n2d1$raw_n2d6 2 Y N .names PieceReleasedOnFB$_n2d1_n2d3$true PieceReleasedOnFB$_n94$raw_n2ab _n2d1 PieceReleasedOnFB$_n2d1$raw_n2d6 - - 0 =PieceReleasedOnFB$_n94$raw_n2ab - - 1 =PieceReleasedOnFB$_n2d1_n2d3$true # conflict arbitrators .names _n94 _n97 _n9d _na5 _na8 _nd3 _nd8 _ndb _nde _n115 _n119 _n13e _n143 _n146 _n192 _n195 _n19a _n1a0 _n1a3 _n1b8 _n1bb _n1d6 _n20e _n213 _n217 _n233 _n236 _n25c _n261 _n2e8 .def 0 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 0 1 1 - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 0 1 0 - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 0 0 - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 1 1 1 - - - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 1 1 0 - - - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 1 0 - - - - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 - - - 1 1 - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 - - - 1 0 - - - - - - - - - - - - - - - - - - 1 1 0 - - - 0 - - - - - 1 1 1 - - - - - - - - - - - - - - - 1 1 0 - - - 0 - - - - - 1 1 0 - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 1 1 - - - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 1 0 - - - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 0 - 1 1 - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 0 - 1 0 - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 0 - 0 - 1 - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 0 - 0 - 0 - - - - - - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - - 1 1 1 - - - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - - 1 1 0 - - - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - - 1 - - 1 1 - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - - 1 - - 1 0 - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - - 0 - - - - 1 1 1 .mv _n2e9 3 GoUp GoDown Stop .names _n2e8 VerticalMove$_n94$raw_n2a2 VerticalMove _n2e9 1 - - =VerticalMove$_n94$raw_n2a2 0 - - =VerticalMove .names _n94 _n192 _n195 _n19a _n1a0 _n1b8 _n1bb _n20e _n233 _n236 _n2b7 _n2ef .def 0 0 1 1 0 0 1 1 - - - - 1 0 1 0 - - - - 1 1 1 - 1 - - - - - - - - - - 1 1 .mv _n2f0 2 Y N .names _n2ef PieceGrabbedFromDB$_n2b6$raw_n2ba PieceGrabbedFromDB _n2f0 1 - - =PieceGrabbedFromDB$_n2b6$raw_n2ba 0 - - =PieceGrabbedFromDB .names _n94 _n97 _nd3 _nd8 _ndb _nde _n13e _n143 _n146 _n2d2 _n2f6 .def 0 1 0 1 1 1 1 - - - - 1 1 0 0 - - - 1 1 1 - 1 - - - - - - - - - 1 1 .mv _n2f7 2 Y N .names _n2f6 PieceReleasedOnFB$_n2d1$raw_n2d6 PieceReleasedOnFB _n2f7 1 - - =PieceReleasedOnFB$_n2d1$raw_n2d6 0 - - =PieceReleasedOnFB .names _n94 _n97 _n9d _na5 _na8 _nd3 _nd8 _ndb _nde _n115 _n119 _n13e _n143 _n146 _n192 _n195 _n19a _n1a0 _n1a3 _n1b8 _n1bb _n20e _n213 _n217 _n233 _n236 _n25c _n261 _n2fd .def 0 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 0 1 1 - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 0 1 0 - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 0 0 - - - - - - - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 1 1 1 - - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 1 1 0 - - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 1 0 - - - - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 - - - 1 1 - - - - - - - - - - - - - - - - - 1 1 0 - - - 1 - - - 1 0 - - - - - - - - - - - - - - - - - 1 1 0 - - - 0 - - - - - 1 1 1 - - - - - - - - - - - - - - 1 1 0 - - - 0 - - - - - 1 1 0 - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 1 1 - - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 1 0 - - - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 0 - 1 1 - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 0 - 1 0 - - - - - - - 1 0 - - - - - - - - - - - - - 1 1 0 0 - 0 - - - - - - - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - 1 1 1 - - - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - 1 1 0 - - - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - 1 - - 1 1 - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - 1 - - 1 0 - - 1 0 - - - - - - - - - - - - - 1 0 - - - - - 0 - - - - 1 1 1 .mv _n2fe 3 GoLeft GoRight Stop .names _n2fd HorizontalMove$_n94$raw_n2a4 HorizontalMove _n2fe 1 - - =HorizontalMove$_n94$raw_n2a4 0 - - =HorizontalMove .names _n94 _n97 _nd3 _nd8 _ndb _nde _n115 _n13e _n143 _n146 _n192 _n195 _n19a _n1a0 _n1a3 _n1b8 _n1bb _n20e _n213 _n233 _n236 _n25c _n261 _n304 .def 0 1 1 - - - - - - - - - - - - - - - - - - - - - 1 1 0 1 1 1 1 - - - - - - - - - - - - - - - - - 1 1 0 1 1 1 0 - - - - - - - - - - - - - - - - - 1 1 0 1 1 0 - - - - - - - - - - - - - - - - - - 1 1 0 1 - - - 1 - - - - - - - - - - - - - - - - 1 1 0 0 - - - - 1 1 1 - - - - - - - - - - - - - 1 1 0 0 - - - - 1 1 0 - - - - - - - - - - - - - 1 0 - - - - - - - - - 1 1 1 - - - - - - - - - - 1 0 - - - - - - - - - 1 1 0 1 1 - - - - - - - - 1 0 - - - - - - - - - 1 1 0 1 0 - - - - - - - - 1 0 - - - - - - - - - 1 1 0 0 - 1 1 - - - - - - 1 0 - - - - - - - - - 1 1 0 0 - 1 0 - - - - - - 1 0 - - - - - - - - - 1 1 0 0 - 0 - - - - - - - 1 0 - - - - - - - - - 1 0 - - - - - 1 1 - - - - 1 0 - - - - - - - - - 1 0 - - - - - 1 - 1 1 - - 1 0 - - - - - - - - - 1 0 - - - - - 1 - 1 0 - - 1 0 - - - - - - - - - 1 0 - - - - - 0 - - - 1 1 1 .mv _n305 2 Grab Free .names _n304 Grip$_n94$raw_n2a5 Grip _n305 1 - - =Grip$_n94$raw_n2a5 0 - - =Grip # non-blocking assignments # latches .r VerticalMove$raw_n90 VerticalMove - =VerticalMove$raw_n90 .latch _n2e9 VerticalMove .r PieceReleasedOnFB$raw_n92 PieceReleasedOnFB - =PieceReleasedOnFB$raw_n92 .latch _n2f7 PieceReleasedOnFB .r PieceGrabbedFromDB$raw_n93 PieceGrabbedFromDB - =PieceGrabbedFromDB$raw_n93 .latch _n2f0 PieceGrabbedFromDB .r HorizontalMove$raw_n8f HorizontalMove - =HorizontalMove$raw_n8f .latch _n2fe HorizontalMove .r Grip$raw_n91 Grip - =Grip$raw_n91 .latch _n305 Grip # quasi-continuous assignment .end .model DepositBelt # I/O ports .inputs PieceGrabbedFromDB .outputs DBelt3 .outputs DBelt2 .outputs DBelt1 .outputs DBelt0 .inputs PieceOutArm .inputs DBReady .inputs DBMotorSwitch .mv PieceGrabbedFromDB 2 Y N .mv DBelt3 2 E F .mv DBelt2 2 E F .mv DBelt1 2 E F .mv DBelt0 2 E F .mv PieceOutArm 2 Y N .mv DBReady 2 Y N .mv DBMotorSwitch 2 on off # DBelt0 = 1 .mv DBelt0$raw_n30b 2 E F .names DBelt0$raw_n30b F # DBelt1 = $NDset ( 0,1 ) .mv DBelt1$raw_n30c 2 E F .mv DBelt1$raw_n30c$initial$_n30d 2 E F .names DBelt1$raw_n30c$initial$_n30d E F .names DBelt1$raw_n30c$initial$_n30d DBelt1$raw_n30c - =DBelt1$raw_n30c$initial$_n30d # DBelt2 = $NDset ( 0,1 ) .mv DBelt2$raw_n30e 2 E F .mv DBelt2$raw_n30e$initial$_n30f 2 E F .names DBelt2$raw_n30e$initial$_n30f E F .names DBelt2$raw_n30e$initial$_n30f DBelt2$raw_n30e - =DBelt2$raw_n30e$initial$_n30f # DBelt3 = $NDset ( 0,1 ) .mv DBelt3$raw_n310 2 E F .mv DBelt3$raw_n310$initial$_n311 2 E F .names DBelt3$raw_n310$initial$_n311 E F .names DBelt3$raw_n310$initial$_n311 DBelt3$raw_n310 - =DBelt3$raw_n310$initial$_n311 # non-blocking assignments for initial .mv _n313 2 on off .names _n313 on # DBMotorSwitch == 0 .names DBMotorSwitch _n313 _n312 .def 0 - =DBMotorSwitch 1 .names _n312 _n314 - =_n312 # DBelt0 = DBelt1 .mv DBelt0$_n312_n315$true 2 E F .names DBelt1 DBelt0$_n312_n315$true - =DBelt1 # DBelt1 = DBelt2 .mv DBelt1$_n312_n316$true 2 E F .names DBelt2 DBelt1$_n312_n316$true - =DBelt2 # DBelt2 = DBelt3 .mv DBelt2$_n312_n317$true 2 E F .names DBelt3 DBelt2$_n312_n317$true - =DBelt3 # DBelt3 = 0 .mv DBelt3$_n312_n318$true 2 E F .names DBelt3$_n312_n318$true E # if/else (DBMotorSwitch == 0) .mv DBelt3$_n312$raw_n321 2 E F .names DBelt3$_n312_n318$true DBelt3 _n312 DBelt3$_n312$raw_n321 - - 0 =DBelt3 - - 1 =DBelt3$_n312_n318$true .mv DBelt2$_n312$raw_n322 2 E F .names DBelt2$_n312_n317$true DBelt2 _n312 DBelt2$_n312$raw_n322 - - 0 =DBelt2 - - 1 =DBelt2$_n312_n317$true .mv DBelt1$_n312$raw_n323 2 E F .names DBelt1$_n312_n316$true DBelt1 _n312 DBelt1$_n312$raw_n323 - - 0 =DBelt1 - - 1 =DBelt1$_n312_n316$true .mv DBelt0$_n312$raw_n324 2 E F .names DBelt0$_n312_n315$true DBelt0 _n312 DBelt0$_n312$raw_n324 - - 0 =DBelt0 - - 1 =DBelt0$_n312_n315$true .mv _n326 2 E F .names _n326 E # DBelt3 == 0 .names DBelt3$_n312$raw_n321 _n326 _n325 .def 0 - =DBelt3$_n312$raw_n321 1 .mv _n328 2 Y N .names _n328 Y # PieceOutArm == 0 .names PieceOutArm _n328 _n327 .def 0 - =PieceOutArm 1 # DBelt3 == 0 && PieceOutArm == 0 .names _n325 _n327 _n329 .def 0 1 1 1 .mv _n32b 2 Y N .names _n32b Y # DBReady == 0 .names DBReady _n32b _n32a .def 0 - =DBReady 1 # DBelt3 == 0 && PieceOutArm == 0 && DBReady == 0 .names _n329 _n32a _n32c .def 0 1 1 1 .names _n32c _n32d - =_n32c # DBelt3 = 1 .mv DBelt3$_n32c_n32e$true 2 E F .names DBelt3$_n32c_n32e$true F # if/else (DBelt3 == 0 && PieceOutArm == 0 && DBReady == 0) .mv DBelt3$_n32c$raw_n32f 2 E F .names DBelt3$_n32c_n32e$true DBelt3$_n312$raw_n321 _n32c DBelt3$_n32c$raw_n32f - - 0 =DBelt3$_n312$raw_n321 - - 1 =DBelt3$_n32c_n32e$true .mv _n340 2 Y N .names _n340 Y # PieceGrabbedFromDB == 0 .names PieceGrabbedFromDB _n340 _n33f .def 0 - =PieceGrabbedFromDB 1 .names _n33f _n341 - =_n33f # DBelt0 = 0 .mv DBelt0$_n33f_n342$true 2 E F .names DBelt0$_n33f_n342$true E # if/else (PieceGrabbedFromDB == 0) .mv DBelt0$_n33f$raw_n346 2 E F .names DBelt0$_n33f_n342$true DBelt0$_n312$raw_n324 _n33f DBelt0$_n33f$raw_n346 - - 0 =DBelt0$_n312$raw_n324 - - 1 =DBelt0$_n33f_n342$true # conflict arbitrators .names _n314 _n32d _n353 .def 0 1 - 1 - 1 1 .mv _n354 2 E F .names _n353 DBelt3$_n32c$raw_n32f DBelt3 _n354 1 - - =DBelt3$_n32c$raw_n32f 0 - - =DBelt3 .names _n314 _n359 .def 0 1 1 .mv _n35a 2 E F .names _n359 DBelt2$_n312$raw_n322 DBelt2 _n35a 1 - - =DBelt2$_n312$raw_n322 0 - - =DBelt2 .names _n314 _n35f .def 0 1 1 .mv _n360 2 E F .names _n35f DBelt1$_n312$raw_n323 DBelt1 _n360 1 - - =DBelt1$_n312$raw_n323 0 - - =DBelt1 .names _n314 _n341 _n365 .def 0 1 - 1 - 1 1 .mv _n366 2 E F .names _n365 DBelt0$_n33f$raw_n346 DBelt0 _n366 1 - - =DBelt0$_n33f$raw_n346 0 - - =DBelt0 # non-blocking assignments # latches .r DBelt3$raw_n310 DBelt3 - =DBelt3$raw_n310 .latch _n354 DBelt3 .r DBelt2$raw_n30e DBelt2 - =DBelt2$raw_n30e .latch _n35a DBelt2 .r DBelt1$raw_n30c DBelt1 - =DBelt1$raw_n30c .latch _n360 DBelt1 .r DBelt0$raw_n30b DBelt0 - =DBelt0$raw_n30b .latch _n366 DBelt0 # quasi-continuous assignment .end .model DepositBeltCNTR # I/O ports .inputs PieceGrabbedFromDB .inputs DBelt3 .inputs DBelt2 .inputs DBelt1 .inputs DBelt0 .outputs PieceOutDB .inputs PieceOutArm .outputs DBReady .outputs DBMotorSwitch .mv PieceGrabbedFromDB 2 Y N .mv DBelt3 2 E F .mv DBelt2 2 E F .mv DBelt1 2 E F .mv DBelt0 2 E F .mv PieceOutDB 2 Y N .mv PieceOutArm 2 Y N .mv DBReady 2 Y N .mv DBMotorSwitch 2 on off # DBMotorSwitch = 1 .mv DBMotorSwitch$raw_n36b 2 on off .names DBMotorSwitch$raw_n36b off # DBReady = 1 .mv DBReady$raw_n36c 2 Y N .names DBReady$raw_n36c N # PieceOutDB = 1 .mv PieceOutDB$raw_n36d 2 Y N .names PieceOutDB$raw_n36d N # non-blocking assignments for initial .mv _n36f 2 E F .names _n36f F # DBelt0 == 1 .names DBelt0 _n36f _n36e .def 0 - =DBelt0 1 .mv _n371 2 Y N .names _n371 N # PieceOutDB == 1 .names PieceOutDB _n371 _n370 .def 0 - =PieceOutDB 1 # DBelt0 == 1 && PieceOutDB == 1 .names _n36e _n370 _n372 .def 0 1 1 1 .mv _n374 2 Y N .names _n374 N # PieceGrabbedFromDB == 1 .names PieceGrabbedFromDB _n374 _n373 .def 0 - =PieceGrabbedFromDB 1 # DBelt0 == 1 && PieceOutDB == 1 && PieceGrabbedFromDB == 1 .names _n372 _n373 _n375 .def 0 1 1 1 .mv _n377 2 E F .names _n377 E # DBelt0 == 0 .names DBelt0 _n377 _n376 .def 0 - =DBelt0 1 .mv _n379 2 E F .names _n379 F # DBelt1 == 1 .names DBelt1 _n379 _n378 .def 0 - =DBelt1 1 # DBelt0 == 0 && DBelt1 == 1 .names _n376 _n378 _n37a .def 0 1 1 1 .mv _n37c 2 on off .names _n37c on # DBMotorSwitch == 0 .names DBMotorSwitch _n37c _n37b .def 0 - =DBMotorSwitch 1 # DBelt0 == 0 && DBelt1 == 1 && DBMotorSwitch == 0 .names _n37a _n37b _n37d .def 0 1 1 1 .mv _n37f 2 Y N .names _n37f N # PieceOutDB == 1 .names PieceOutDB _n37f _n37e .def 0 - =PieceOutDB 1 # DBelt0 == 0 && DBelt1 == 1 && DBMotorSwitch == 0 && PieceOutDB == 1 .names _n37d _n37e _n380 .def 0 1 1 1 .mv _n382 2 Y N .names _n382 N # PieceGrabbedFromDB == 1 .names PieceGrabbedFromDB _n382 _n381 .def 0 - =PieceGrabbedFromDB 1 # DBelt0 == 0 && DBelt1 == 1 && DBMotorSwitch == 0 && PieceOutDB == 1 && PieceGrabbedFromDB == 1 .names _n380 _n381 _n383 .def 0 1 1 1 # (DBelt0 == 1 && PieceOutDB == 1 && PieceGrabbedFromDB == 1) || (DBelt0 == 0 && DBelt1 == 1 && DBMotorSwitch == 0 && PieceOutDB == 1 && PieceGrabbedFromDB == 1) .names _n375 _n383 _n384 .def 1 0 0 0 .names _n384 _n385 - =_n384 # PieceOutDB = 0 .mv PieceOutDB$_n384_n386$true 2 Y N .names PieceOutDB$_n384_n386$true Y # if/else ((DBelt0 == 1 && PieceOutDB == 1 && PieceGrabbedFromDB == 1) || (DBelt0 == 0 && DBelt1 == 1 && DBMotorSwitch == 0 && PieceOutDB == 1 && PieceGrabbedFromDB == 1)) .mv PieceOutDB$_n384$raw_n389 2 Y N .names PieceOutDB$_n384_n386$true PieceOutDB _n384 PieceOutDB$_n384$raw_n389 - - 0 =PieceOutDB - - 1 =PieceOutDB$_n384_n386$true .mv _n38b 2 Y N .names _n38b Y # PieceOutDB == 0 .names PieceOutDB$_n384$raw_n389 _n38b _n38a .def 0 - =PieceOutDB$_n384$raw_n389 1 .mv _n38d 2 Y N .names _n38d Y # PieceGrabbedFromDB == 0 .names PieceGrabbedFromDB _n38d _n38c .def 0 - =PieceGrabbedFromDB 1 # PieceOutDB == 0 && PieceGrabbedFromDB == 0 .names _n38a _n38c _n38e .def 0 1 1 1 .names _n38e _n38f - =_n38e # PieceOutDB = 1 .mv PieceOutDB$_n38e_n390$true 2 Y N .names PieceOutDB$_n38e_n390$true N # if/else (PieceOutDB == 0 && PieceGrabbedFromDB == 0) .mv PieceOutDB$_n38e$raw_n391 2 Y N .names PieceOutDB$_n38e_n390$true PieceOutDB$_n384$raw_n389 _n38e PieceOutDB$_n38e$raw_n391 - - 0 =PieceOutDB$_n384$raw_n389 - - 1 =PieceOutDB$_n38e_n390$true .mv _n396 2 E F .names _n396 E # DBelt3 == 0 .names DBelt3 _n396 _n395 .def 0 - =DBelt3 1 .mv _n398 2 Y N .names _n398 N # PieceOutArm == 1 .names PieceOutArm _n398 _n397 .def 0 - =PieceOutArm 1 # DBelt3 == 0 && PieceOutArm == 1 .names _n395 _n397 _n399 .def 0 1 1 1 .mv _n39b 2 Y N .names _n39b N # DBReady == 1 .names DBReady _n39b _n39a .def 0 - =DBReady 1 # DBelt3 == 0 && PieceOutArm == 1 && DBReady == 1 .names _n399 _n39a _n39c .def 0 1 1 1 .names _n39c _n39d - =_n39c # DBReady = 0 .mv DBReady$_n39c_n39e$true 2 Y N .names DBReady$_n39c_n39e$true Y # if/else (DBelt3 == 0 && PieceOutArm == 1 && DBReady == 1) .mv DBReady$_n39c$raw_n3a4 2 Y N .names DBReady$_n39c_n39e$true DBReady _n39c DBReady$_n39c$raw_n3a4 - - 0 =DBReady - - 1 =DBReady$_n39c_n39e$true .mv _n3a7 2 Y N .names _n3a7 Y # PieceOutArm == 0 .names PieceOutArm _n3a7 _n3a6 .def 0 - =PieceOutArm 1 .mv _n3a9 2 Y N .names _n3a9 Y # DBReady == 0 .names DBReady$_n39c$raw_n3a4 _n3a9 _n3a8 .def 0 - =DBReady$_n39c$raw_n3a4 1 # PieceOutArm == 0 && DBReady == 0 .names _n3a6 _n3a8 _n3aa .def 0 1 1 1 .names _n3aa _n3ab - =_n3aa # DBReady = 1 .mv DBReady$_n3aa_n3ac$true 2 Y N .names DBReady$_n3aa_n3ac$true N # DBMotorSwitch = 0 .mv DBMotorSwitch$_n3aa_n3ad$true 2 on off .names DBMotorSwitch$_n3aa_n3ad$true on # if/else (PieceOutArm == 0 && DBReady == 0) .mv DBReady$_n3aa$raw_n3b0 2 Y N .names DBReady$_n3aa_n3ac$true DBReady$_n39c$raw_n3a4 _n3aa DBReady$_n3aa$raw_n3b0 - - 0 =DBReady$_n39c$raw_n3a4 - - 1 =DBReady$_n3aa_n3ac$true .mv DBMotorSwitch$_n3aa$raw_n3b5 2 on off .names DBMotorSwitch$_n3aa_n3ad$true DBMotorSwitch _n3aa DBMotorSwitch$_n3aa$raw_n3b5 - - 0 =DBMotorSwitch - - 1 =DBMotorSwitch$_n3aa_n3ad$true .mv _n3ba 2 E F .names _n3ba F # DBelt0 == 1 .names DBelt0 _n3ba _n3b9 .def 0 - =DBelt0 1 .names _n3b9 _n3bb - =_n3b9 # DBMotorSwitch = 1 .mv DBMotorSwitch$_n3b9_n3bc$true 2 on off .names DBMotorSwitch$_n3b9_n3bc$true off # if/else (DBelt0 == 1) .mv DBMotorSwitch$_n3b9$raw_n3bf 2 on off .names DBMotorSwitch$_n3b9_n3bc$true DBMotorSwitch$_n3aa$raw_n3b5 _n3b9 DBMotorSwitch$_n3b9$raw_n3bf - - 0 =DBMotorSwitch$_n3aa$raw_n3b5 - - 1 =DBMotorSwitch$_n3b9_n3bc$true .mv _n3ca 2 E F .names _n3ca E # DBelt0 == 0 .names DBelt0 _n3ca _n3c9 .def 0 - =DBelt0 1 .mv _n3cc 2 on off .names _n3cc off # DBMotorSwitch == 1 .names DBMotorSwitch$_n3b9$raw_n3bf _n3cc _n3cb .def 0 - =DBMotorSwitch$_n3b9$raw_n3bf 1 # DBelt0 == 0 && DBMotorSwitch == 1 .names _n3c9 _n3cb _n3cd .def 0 1 1 1 .mv _n3cf 2 E F .names _n3cf F # DBelt1 == 1 .names DBelt1 _n3cf _n3ce .def 0 - =DBelt1 1 .mv _n3d1 2 E F .names _n3d1 F # DBelt2 == 1 .names DBelt2 _n3d1 _n3d0 .def 0 - =DBelt2 1 # DBelt1 == 1 || DBelt2 == 1 .names _n3ce _n3d0 _n3d2 .def 1 0 0 0 .mv _n3d4 2 E F .names _n3d4 F # DBelt3 == 1 .names DBelt3 _n3d4 _n3d3 .def 0 - =DBelt3 1 # DBelt1 == 1 || DBelt2 == 1 || DBelt3 == 1 .names _n3d2 _n3d3 _n3d5 .def 1 0 0 0 # DBelt0 == 0 && DBMotorSwitch == 1 && (DBelt1 == 1 || DBelt2 == 1 || DBelt3 == 1) .names _n3cd _n3d5 _n3d6 .def 0 1 1 1 .names _n3d6 _n3d7 - =_n3d6 # DBMotorSwitch = 0 .mv DBMotorSwitch$_n3d6_n3d8$true 2 on off .names DBMotorSwitch$_n3d6_n3d8$true on .mv _n3da 2 E F .names _n3da E # DBelt0 == 0 .names DBelt0 _n3da _n3d9 .def 0 - =DBelt0 1 .mv _n3dc 2 on off .names _n3dc on # DBMotorSwitch == 0 .names DBMotorSwitch$_n3b9$raw_n3bf _n3dc _n3db .def 0 - =DBMotorSwitch$_n3b9$raw_n3bf 1 # DBelt0 == 0 && DBMotorSwitch == 0 .names _n3d9 _n3db _n3dd .def 0 1 1 1 .mv _n3df 2 E F .names _n3df F # DBelt1 == 1 .names DBelt1 _n3df _n3de .def 0 - =DBelt1 1 # DBelt0 == 0 && DBMotorSwitch == 0 && DBelt1 == 1 .names _n3dd _n3de _n3e0 .def 0 1 1 1 .names _n3e0 _n3e1 - =_n3e0 # DBMotorSwitch = 1 .mv DBMotorSwitch$_n3e0_n3e2$true 2 on off .names DBMotorSwitch$_n3e0_n3e2$true off # if/else (DBelt0 == 0 && DBMotorSwitch == 0 && DBelt1 == 1) .mv DBMotorSwitch$_n3e0$raw_n3e4 2 on off .names DBMotorSwitch$_n3e0_n3e2$true DBMotorSwitch$_n3b9$raw_n3bf _n3e0 DBMotorSwitch$_n3e0$raw_n3e4 - - 0 =DBMotorSwitch$_n3b9$raw_n3bf - - 1 =DBMotorSwitch$_n3e0_n3e2$true # if/else (DBelt0 == 0 && DBMotorSwitch == 1 && (DBelt1 == 1 || DBelt2 == 1 || DBelt3 == 1)) .mv DBMotorSwitch$_n3d6$raw_n3f1 2 on off .names DBMotorSwitch$_n3d6_n3d8$true DBMotorSwitch$_n3e0$raw_n3e4 _n3d6 DBMotorSwitch$_n3d6$raw_n3f1 - - 0 =DBMotorSwitch$_n3e0$raw_n3e4 - - 1 =DBMotorSwitch$_n3d6_n3d8$true # conflict arbitrators .names _n385 _n38f _n3fb .def 0 1 - 1 - 1 1 .mv _n3fc 2 Y N .names _n3fb PieceOutDB$_n38e$raw_n391 PieceOutDB _n3fc 1 - - =PieceOutDB$_n38e$raw_n391 0 - - =PieceOutDB .names _n39d _n3ab _n400 .def 0 1 - 1 - 1 1 .mv _n401 2 Y N .names _n400 DBReady$_n3aa$raw_n3b0 DBReady _n401 1 - - =DBReady$_n3aa$raw_n3b0 0 - - =DBReady .names _n3ab _n3bb _n3d7 _n3e1 _n405 .def 0 1 - - - 1 - 1 - - 1 - - 1 - 1 - - 0 1 1 .mv _n406 2 on off .names _n405 DBMotorSwitch$_n3d6$raw_n3f1 DBMotorSwitch _n406 1 - - =DBMotorSwitch$_n3d6$raw_n3f1 0 - - =DBMotorSwitch # non-blocking assignments # latches .r PieceOutDB$raw_n36d PieceOutDB - =PieceOutDB$raw_n36d .latch _n3fc PieceOutDB .r DBMotorSwitch$raw_n36b DBMotorSwitch - =DBMotorSwitch$raw_n36b .latch _n406 DBMotorSwitch .r DBReady$raw_n36c DBReady - =DBReady$raw_n36c .latch _n401 DBReady # quasi-continuous assignment .end .model FeedBelt # I/O ports .outputs FBelt3 .outputs FBelt2 .inputs FBReady .inputs PieceReleasedOnFB .outputs FBelt1 .outputs FBelt0 .inputs PieceGrabbedFromFB .inputs FBMotorSwitch .mv FBelt3 2 E F .mv FBelt2 2 E F .mv FBReady 2 Y N .mv PieceReleasedOnFB 2 Y N .mv FBelt1 2 E F .mv FBelt0 2 E F .mv PieceGrabbedFromFB 2 Y N .mv FBMotorSwitch 2 on off # FBelt0 = $NDset ( 0,1 ) .mv FBelt0$raw_n40a 2 E F .mv FBelt0$raw_n40a$initial$_n40b 2 E F .names FBelt0$raw_n40a$initial$_n40b E F .names FBelt0$raw_n40a$initial$_n40b FBelt0$raw_n40a - =FBelt0$raw_n40a$initial$_n40b # FBelt1 = $NDset ( 0,1 ) .mv FBelt1$raw_n40c 2 E F .mv FBelt1$raw_n40c$initial$_n40d 2 E F .names FBelt1$raw_n40c$initial$_n40d E F .names FBelt1$raw_n40c$initial$_n40d FBelt1$raw_n40c - =FBelt1$raw_n40c$initial$_n40d # FBelt2 = $NDset ( 0,1 ) .mv FBelt2$raw_n40e 2 E F .mv FBelt2$raw_n40e$initial$_n40f 2 E F .names FBelt2$raw_n40e$initial$_n40f E F .names FBelt2$raw_n40e$initial$_n40f FBelt2$raw_n40e - =FBelt2$raw_n40e$initial$_n40f # FBelt3 = $NDset ( 0,1 ) .mv FBelt3$raw_n410 2 E F .mv FBelt3$raw_n410$initial$_n411 2 E F .names FBelt3$raw_n410$initial$_n411 E F .names FBelt3$raw_n410$initial$_n411 FBelt3$raw_n410 - =FBelt3$raw_n410$initial$_n411 # non-blocking assignments for initial .mv _n413 2 on off .names _n413 on # FBMotorSwitch == 0 .names FBMotorSwitch _n413 _n412 .def 0 - =FBMotorSwitch 1 .names _n412 _n414 - =_n412 # FBelt0 = FBelt1 .mv FBelt0$_n412_n415$true 2 E F .names FBelt1 FBelt0$_n412_n415$true - =FBelt1 # FBelt1 = FBelt2 .mv FBelt1$_n412_n416$true 2 E F .names FBelt2 FBelt1$_n412_n416$true - =FBelt2 # FBelt2 = FBelt3 .mv FBelt2$_n412_n417$true 2 E F .names FBelt3 FBelt2$_n412_n417$true - =FBelt3 # FBelt3 = 0 .mv FBelt3$_n412_n418$true 2 E F .names FBelt3$_n412_n418$true E # if/else (FBMotorSwitch == 0) .mv FBelt3$_n412$raw_n421 2 E F .names FBelt3$_n412_n418$true FBelt3 _n412 FBelt3$_n412$raw_n421 - - 0 =FBelt3 - - 1 =FBelt3$_n412_n418$true .mv FBelt2$_n412$raw_n422 2 E F .names FBelt2$_n412_n417$true FBelt2 _n412 FBelt2$_n412$raw_n422 - - 0 =FBelt2 - - 1 =FBelt2$_n412_n417$true .mv FBelt1$_n412$raw_n423 2 E F .names FBelt1$_n412_n416$true FBelt1 _n412 FBelt1$_n412$raw_n423 - - 0 =FBelt1 - - 1 =FBelt1$_n412_n416$true .mv FBelt0$_n412$raw_n424 2 E F .names FBelt0$_n412_n415$true FBelt0 _n412 FBelt0$_n412$raw_n424 - - 0 =FBelt0 - - 1 =FBelt0$_n412_n415$true .mv _n426 2 E F .names _n426 E # FBelt3 == 0 .names FBelt3$_n412$raw_n421 _n426 _n425 .def 0 - =FBelt3$_n412$raw_n421 1 .mv _n428 2 Y N .names _n428 Y # PieceReleasedOnFB == 0 .names PieceReleasedOnFB _n428 _n427 .def 0 - =PieceReleasedOnFB 1 # FBelt3 == 0 && PieceReleasedOnFB == 0 .names _n425 _n427 _n429 .def 0 1 1 1 .mv _n42b 2 Y N .names _n42b Y # FBReady == 0 .names FBReady _n42b _n42a .def 0 - =FBReady 1 # FBelt3 == 0 && PieceReleasedOnFB == 0 && FBReady == 0 .names _n429 _n42a _n42c .def 0 1 1 1 .names _n42c _n42d - =_n42c # FBelt3 = 1 .mv FBelt3$_n42c_n42e$true 2 E F .names FBelt3$_n42c_n42e$true F # if/else (FBelt3 == 0 && PieceReleasedOnFB == 0 && FBReady == 0) .mv FBelt3$_n42c$raw_n42f 2 E F .names FBelt3$_n42c_n42e$true FBelt3$_n412$raw_n421 _n42c FBelt3$_n42c$raw_n42f - - 0 =FBelt3$_n412$raw_n421 - - 1 =FBelt3$_n42c_n42e$true .mv _n440 2 Y N .names _n440 Y # PieceGrabbedFromFB == 0 .names PieceGrabbedFromFB _n440 _n43f .def 0 - =PieceGrabbedFromFB 1 .names _n43f _n441 - =_n43f # FBelt0 = 0 .mv FBelt0$_n43f_n442$true 2 E F .names FBelt0$_n43f_n442$true E # if/else (PieceGrabbedFromFB == 0) .mv FBelt0$_n43f$raw_n446 2 E F .names FBelt0$_n43f_n442$true FBelt0$_n412$raw_n424 _n43f FBelt0$_n43f$raw_n446 - - 0 =FBelt0$_n412$raw_n424 - - 1 =FBelt0$_n43f_n442$true # conflict arbitrators .names _n414 _n42d _n453 .def 0 1 - 1 - 1 1 .mv _n454 2 E F .names _n453 FBelt3$_n42c$raw_n42f FBelt3 _n454 1 - - =FBelt3$_n42c$raw_n42f 0 - - =FBelt3 .names _n414 _n459 .def 0 1 1 .mv _n45a 2 E F .names _n459 FBelt2$_n412$raw_n422 FBelt2 _n45a 1 - - =FBelt2$_n412$raw_n422 0 - - =FBelt2 .names _n414 _n45f .def 0 1 1 .mv _n460 2 E F .names _n45f FBelt1$_n412$raw_n423 FBelt1 _n460 1 - - =FBelt1$_n412$raw_n423 0 - - =FBelt1 .names _n414 _n441 _n465 .def 0 1 - 1 - 1 1 .mv _n466 2 E F .names _n465 FBelt0$_n43f$raw_n446 FBelt0 _n466 1 - - =FBelt0$_n43f$raw_n446 0 - - =FBelt0 # non-blocking assignments # latches .r FBelt3$raw_n410 FBelt3 - =FBelt3$raw_n410 .latch _n454 FBelt3 .r FBelt2$raw_n40e FBelt2 - =FBelt2$raw_n40e .latch _n45a FBelt2 .r FBelt1$raw_n40c FBelt1 - =FBelt1$raw_n40c .latch _n460 FBelt1 .r FBelt0$raw_n40a FBelt0 - =FBelt0$raw_n40a .latch _n466 FBelt0 # quasi-continuous assignment .end .model FeedBeltCNTR # I/O ports .inputs FBelt3 .outputs FBReady .inputs PieceReleasedOnFB .inputs FBelt2 .inputs FBelt1 .inputs FBelt0 .outputs PieceOutFB .outputs FBMotorSwitch .inputs PieceGrabbedFromFB .mv FBelt3 2 E F .mv FBReady 2 Y N .mv PieceReleasedOnFB 2 Y N .mv FBelt2 2 E F .mv FBelt1 2 E F .mv FBelt0 2 E F .mv PieceOutFB 2 Y N .mv FBMotorSwitch 2 on off .mv PieceGrabbedFromFB 2 Y N # FBMotorSwitch = 1 .mv FBMotorSwitch$raw_n46b 2 on off .names FBMotorSwitch$raw_n46b off # FBReady = 1 .mv FBReady$raw_n46c 2 Y N .names FBReady$raw_n46c N # PieceOutFB = 1 .mv PieceOutFB$raw_n46d 2 Y N .names PieceOutFB$raw_n46d N # non-blocking assignments for initial .mv _n46f 2 E F .names _n46f F # FBelt0 == 1 .names FBelt0 _n46f _n46e .def 0 - =FBelt0 1 .mv _n471 2 Y N .names _n471 N # PieceOutFB == 1 .names PieceOutFB _n471 _n470 .def 0 - =PieceOutFB 1 # FBelt0 == 1 && PieceOutFB == 1 .names _n46e _n470 _n472 .def 0 1 1 1 .mv _n474 2 Y N .names _n474 N # PieceGrabbedFromFB == 1 .names PieceGrabbedFromFB _n474 _n473 .def 0 - =PieceGrabbedFromFB 1 # FBelt0 == 1 && PieceOutFB == 1 && PieceGrabbedFromFB == 1 .names _n472 _n473 _n475 .def 0 1 1 1 .mv _n477 2 E F .names _n477 E # FBelt0 == 0 .names FBelt0 _n477 _n476 .def 0 - =FBelt0 1 .mv _n479 2 E F .names _n479 F # FBelt1 == 1 .names FBelt1 _n479 _n478 .def 0 - =FBelt1 1 # FBelt0 == 0 && FBelt1 == 1 .names _n476 _n478 _n47a .def 0 1 1 1 .mv _n47c 2 on off .names _n47c on # FBMotorSwitch == 0 .names FBMotorSwitch _n47c _n47b .def 0 - =FBMotorSwitch 1 # FBelt0 == 0 && FBelt1 == 1 && FBMotorSwitch == 0 .names _n47a _n47b _n47d .def 0 1 1 1 .mv _n47f 2 Y N .names _n47f N # PieceOutFB == 1 .names PieceOutFB _n47f _n47e .def 0 - =PieceOutFB 1 # FBelt0 == 0 && FBelt1 == 1 && FBMotorSwitch == 0 && PieceOutFB == 1 .names _n47d _n47e _n480 .def 0 1 1 1 .mv _n482 2 Y N .names _n482 N # PieceGrabbedFromFB == 1 .names PieceGrabbedFromFB _n482 _n481 .def 0 - =PieceGrabbedFromFB 1 # FBelt0 == 0 && FBelt1 == 1 && FBMotorSwitch == 0 && PieceOutFB == 1 && PieceGrabbedFromFB == 1 .names _n480 _n481 _n483 .def 0 1 1 1 # (FBelt0 == 1 && PieceOutFB == 1 && PieceGrabbedFromFB == 1) || (FBelt0 == 0 && FBelt1 == 1 && FBMotorSwitch == 0 && PieceOutFB == 1 && PieceGrabbedFromFB == 1) .names _n475 _n483 _n484 .def 1 0 0 0 .names _n484 _n485 - =_n484 # PieceOutFB = 0 .mv PieceOutFB$_n484_n486$true 2 Y N .names PieceOutFB$_n484_n486$true Y # if/else ((FBelt0 == 1 && PieceOutFB == 1 && PieceGrabbedFromFB == 1) || (FBelt0 == 0 && FBelt1 == 1 && FBMotorSwitch == 0 && PieceOutFB == 1 && PieceGrabbedFromFB == 1)) .mv PieceOutFB$_n484$raw_n489 2 Y N .names PieceOutFB$_n484_n486$true PieceOutFB _n484 PieceOutFB$_n484$raw_n489 - - 0 =PieceOutFB - - 1 =PieceOutFB$_n484_n486$true .mv _n48b 2 Y N .names _n48b Y # PieceOutFB == 0 .names PieceOutFB$_n484$raw_n489 _n48b _n48a .def 0 - =PieceOutFB$_n484$raw_n489 1 .mv _n48d 2 Y N .names _n48d Y # PieceGrabbedFromFB == 0 .names PieceGrabbedFromFB _n48d _n48c .def 0 - =PieceGrabbedFromFB 1 # PieceOutFB == 0 && PieceGrabbedFromFB == 0 .names _n48a _n48c _n48e .def 0 1 1 1 .names _n48e _n48f - =_n48e # PieceOutFB = 1 .mv PieceOutFB$_n48e_n490$true 2 Y N .names PieceOutFB$_n48e_n490$true N # if/else (PieceOutFB == 0 && PieceGrabbedFromFB == 0) .mv PieceOutFB$_n48e$raw_n491 2 Y N .names PieceOutFB$_n48e_n490$true PieceOutFB$_n484$raw_n489 _n48e PieceOutFB$_n48e$raw_n491 - - 0 =PieceOutFB$_n484$raw_n489 - - 1 =PieceOutFB$_n48e_n490$true .mv _n496 2 E F .names _n496 E # FBelt3 == 0 .names FBelt3 _n496 _n495 .def 0 - =FBelt3 1 .mv _n498 2 Y N .names _n498 N # PieceReleasedOnFB == 1 .names PieceReleasedOnFB _n498 _n497 .def 0 - =PieceReleasedOnFB 1 # FBelt3 == 0 && PieceReleasedOnFB == 1 .names _n495 _n497 _n499 .def 0 1 1 1 .mv _n49b 2 Y N .names _n49b N # FBReady == 1 .names FBReady _n49b _n49a .def 0 - =FBReady 1 # FBelt3 == 0 && PieceReleasedOnFB == 1 && FBReady == 1 .names _n499 _n49a _n49c .def 0 1 1 1 .names _n49c _n49d - =_n49c # FBReady = 0 .mv FBReady$_n49c_n49e$true 2 Y N .names FBReady$_n49c_n49e$true Y # if/else (FBelt3 == 0 && PieceReleasedOnFB == 1 && FBReady == 1) .mv FBReady$_n49c$raw_n4a3 2 Y N .names FBReady$_n49c_n49e$true FBReady _n49c FBReady$_n49c$raw_n4a3 - - 0 =FBReady - - 1 =FBReady$_n49c_n49e$true .mv _n4a7 2 Y N .names _n4a7 Y # PieceReleasedOnFB == 0 .names PieceReleasedOnFB _n4a7 _n4a6 .def 0 - =PieceReleasedOnFB 1 .mv _n4a9 2 Y N .names _n4a9 Y # FBReady == 0 .names FBReady$_n49c$raw_n4a3 _n4a9 _n4a8 .def 0 - =FBReady$_n49c$raw_n4a3 1 # PieceReleasedOnFB == 0 && FBReady == 0 .names _n4a6 _n4a8 _n4aa .def 0 1 1 1 .names _n4aa _n4ab - =_n4aa # FBReady = 1 .mv FBReady$_n4aa_n4ac$true 2 Y N .names FBReady$_n4aa_n4ac$true N # FBMotorSwitch = 0 .mv FBMotorSwitch$_n4aa_n4ad$true 2 on off .names FBMotorSwitch$_n4aa_n4ad$true on # if/else (PieceReleasedOnFB == 0 && FBReady == 0) .mv FBReady$_n4aa$raw_n4ae 2 Y N .names FBReady$_n4aa_n4ac$true FBReady$_n49c$raw_n4a3 _n4aa FBReady$_n4aa$raw_n4ae - - 0 =FBReady$_n49c$raw_n4a3 - - 1 =FBReady$_n4aa_n4ac$true .mv FBMotorSwitch$_n4aa$raw_n4b5 2 on off .names FBMotorSwitch$_n4aa_n4ad$true FBMotorSwitch _n4aa FBMotorSwitch$_n4aa$raw_n4b5 - - 0 =FBMotorSwitch - - 1 =FBMotorSwitch$_n4aa_n4ad$true .mv _n4ba 2 E F .names _n4ba F # FBelt0 == 1 .names FBelt0 _n4ba _n4b9 .def 0 - =FBelt0 1 .names _n4b9 _n4bb - =_n4b9 # FBMotorSwitch = 1 .mv FBMotorSwitch$_n4b9_n4bc$true 2 on off .names FBMotorSwitch$_n4b9_n4bc$true off # if/else (FBelt0 == 1) .mv FBMotorSwitch$_n4b9$raw_n4bf 2 on off .names FBMotorSwitch$_n4b9_n4bc$true FBMotorSwitch$_n4aa$raw_n4b5 _n4b9 FBMotorSwitch$_n4b9$raw_n4bf - - 0 =FBMotorSwitch$_n4aa$raw_n4b5 - - 1 =FBMotorSwitch$_n4b9_n4bc$true .mv _n4ca 2 E F .names _n4ca E # FBelt0 == 0 .names FBelt0 _n4ca _n4c9 .def 0 - =FBelt0 1 .mv _n4cc 2 on off .names _n4cc off # FBMotorSwitch == 1 .names FBMotorSwitch$_n4b9$raw_n4bf _n4cc _n4cb .def 0 - =FBMotorSwitch$_n4b9$raw_n4bf 1 # FBelt0 == 0 && FBMotorSwitch == 1 .names _n4c9 _n4cb _n4cd .def 0 1 1 1 .mv _n4cf 2 E F .names _n4cf F # FBelt1 == 1 .names FBelt1 _n4cf _n4ce .def 0 - =FBelt1 1 .mv _n4d1 2 E F .names _n4d1 F # FBelt2 == 1 .names FBelt2 _n4d1 _n4d0 .def 0 - =FBelt2 1 # FBelt1 == 1 || FBelt2 == 1 .names _n4ce _n4d0 _n4d2 .def 1 0 0 0 .mv _n4d4 2 E F .names _n4d4 F # FBelt3 == 1 .names FBelt3 _n4d4 _n4d3 .def 0 - =FBelt3 1 # FBelt1 == 1 || FBelt2 == 1 || FBelt3 == 1 .names _n4d2 _n4d3 _n4d5 .def 1 0 0 0 # FBelt0 == 0 && FBMotorSwitch == 1 && (FBelt1 == 1 || FBelt2 == 1 || FBelt3 == 1) .names _n4cd _n4d5 _n4d6 .def 0 1 1 1 .names _n4d6 _n4d7 - =_n4d6 # FBMotorSwitch = 0 .mv FBMotorSwitch$_n4d6_n4d8$true 2 on off .names FBMotorSwitch$_n4d6_n4d8$true on .mv _n4da 2 E F .names _n4da E # FBelt0 == 0 .names FBelt0 _n4da _n4d9 .def 0 - =FBelt0 1 .mv _n4dc 2 on off .names _n4dc on # FBMotorSwitch == 0 .names FBMotorSwitch$_n4b9$raw_n4bf _n4dc _n4db .def 0 - =FBMotorSwitch$_n4b9$raw_n4bf 1 # FBelt0 == 0 && FBMotorSwitch == 0 .names _n4d9 _n4db _n4dd .def 0 1 1 1 .mv _n4df 2 E F .names _n4df F # FBelt1 == 1 .names FBelt1 _n4df _n4de .def 0 - =FBelt1 1 # FBelt0 == 0 && FBMotorSwitch == 0 && FBelt1 == 1 .names _n4dd _n4de _n4e0 .def 0 1 1 1 .names _n4e0 _n4e1 - =_n4e0 # FBMotorSwitch = 1 .mv FBMotorSwitch$_n4e0_n4e2$true 2 on off .names FBMotorSwitch$_n4e0_n4e2$true off # if/else (FBelt0 == 0 && FBMotorSwitch == 0 && FBelt1 == 1) .mv FBMotorSwitch$_n4e0$raw_n4e4 2 on off .names FBMotorSwitch$_n4e0_n4e2$true FBMotorSwitch$_n4b9$raw_n4bf _n4e0 FBMotorSwitch$_n4e0$raw_n4e4 - - 0 =FBMotorSwitch$_n4b9$raw_n4bf - - 1 =FBMotorSwitch$_n4e0_n4e2$true # if/else (FBelt0 == 0 && FBMotorSwitch == 1 && (FBelt1 == 1 || FBelt2 == 1 || FBelt3 == 1)) .mv FBMotorSwitch$_n4d6$raw_n4f1 2 on off .names FBMotorSwitch$_n4d6_n4d8$true FBMotorSwitch$_n4e0$raw_n4e4 _n4d6 FBMotorSwitch$_n4d6$raw_n4f1 - - 0 =FBMotorSwitch$_n4e0$raw_n4e4 - - 1 =FBMotorSwitch$_n4d6_n4d8$true # conflict arbitrators .names _n49d _n4ab _n4fb .def 0 1 - 1 - 1 1 .mv _n4fc 2 Y N .names _n4fb FBReady$_n4aa$raw_n4ae FBReady _n4fc 1 - - =FBReady$_n4aa$raw_n4ae 0 - - =FBReady .names _n485 _n48f _n500 .def 0 1 - 1 - 1 1 .mv _n501 2 Y N .names _n500 PieceOutFB$_n48e$raw_n491 PieceOutFB _n501 1 - - =PieceOutFB$_n48e$raw_n491 0 - - =PieceOutFB .names _n4ab _n4bb _n4d7 _n4e1 _n505 .def 0 1 - - - 1 - 1 - - 1 - - 1 - 1 - - 0 1 1 .mv _n506 2 on off .names _n505 FBMotorSwitch$_n4d6$raw_n4f1 FBMotorSwitch _n506 1 - - =FBMotorSwitch$_n4d6$raw_n4f1 0 - - =FBMotorSwitch # non-blocking assignments # latches .r FBReady$raw_n46c FBReady - =FBReady$raw_n46c .latch _n4fc FBReady .r FBMotorSwitch$raw_n46b FBMotorSwitch - =FBMotorSwitch$raw_n46b .latch _n506 FBMotorSwitch .r PieceOutFB$raw_n46d PieceOutFB - =PieceOutFB$raw_n46d .latch _n501 PieceOutFB # quasi-continuous assignment .end .model RotaryTable # I/O ports .outputs RTOnTop .outputs RTOnArm .outputs RTOnFB .outputs RTOnBottom .inputs RTVerticalMotor .inputs RTRotaryMotor .mv RTOnTop 2 Y N .mv RTOnArm 2 Y N .mv RTOnFB 2 Y N .mv RTAngle 3 S SSE SE .mv RTOnBottom 2 Y N .mv RTVerticalMotor 3 GoUp GoDown Stop .mv RTRotaryMotor 3 CWise Stop CCWise .mv RTHight 3 Top Mid Bot # assign RTOnFB = (RTAngle == S ) ? 0 : 1 .mv RTOnFB$raw_n50a 2 Y N .mv _n50c 3 S SSE SE .names _n50c S # RTAngle == 0 .names RTAngle _n50c _n50b .def 0 - =RTAngle 1 .mv _n50d 2 Y N .names _n50d Y .mv _n50e 2 Y N .names _n50e N # (RTAngle == 0) ? 0 : 1 .mv _n50f 2 Y N .names _n50d _n50e _n50b _n50f - - 0 =_n50e - - 1 =_n50d .names _n50f RTOnFB$raw_n50a - =_n50f # assign RTOnArm = (RTAngle == SE ) ? 0 : 1 .mv RTOnArm$raw_n510 2 Y N .mv _n512 3 S SSE SE .names _n512 SE # RTAngle == 2 .names RTAngle _n512 _n511 .def 0 - =RTAngle 1 .mv _n513 2 Y N .names _n513 Y .mv _n514 2 Y N .names _n514 N # (RTAngle == 2) ? 0 : 1 .mv _n515 2 Y N .names _n513 _n514 _n511 _n515 - - 0 =_n514 - - 1 =_n513 .names _n515 RTOnArm$raw_n510 - =_n515 # assign RTOnTop = (RTHight == Top ) ? 0 : 1 .mv RTOnTop$raw_n516 2 Y N .mv _n518 3 Top Mid Bot .names _n518 Top # RTHight == 0 .names RTHight _n518 _n517 .def 0 - =RTHight 1 .mv _n519 2 Y N .names _n519 Y .mv _n51a 2 Y N .names _n51a N # (RTHight == 0) ? 0 : 1 .mv _n51b 2 Y N .names _n519 _n51a _n517 _n51b - - 0 =_n51a - - 1 =_n519 .names _n51b RTOnTop$raw_n516 - =_n51b # assign RTOnBottom = (RTHight == Bot ) ? 0 : 1 .mv RTOnBottom$raw_n51c 2 Y N .mv _n51e 3 Top Mid Bot .names _n51e Bot # RTHight == 2 .names RTHight _n51e _n51d .def 0 - =RTHight 1 .mv _n51f 2 Y N .names _n51f Y .mv _n520 2 Y N .names _n520 N # (RTHight == 2) ? 0 : 1 .mv _n521 2 Y N .names _n51f _n520 _n51d _n521 - - 0 =_n520 - - 1 =_n51f .names _n521 RTOnBottom$raw_n51c - =_n521 # RTAngle = $NDset ( 0,1,2 ) .mv RTAngle$raw_n522 3 S SSE SE .mv RTAngle$raw_n522$initial$_n523 3 S SSE SE .names RTAngle$raw_n522$initial$_n523 S SSE SE .names RTAngle$raw_n522$initial$_n523 RTAngle$raw_n522 - =RTAngle$raw_n522$initial$_n523 # RTHight = $NDset ( 0,1,2 ) .mv RTHight$raw_n524 3 Top Mid Bot .mv RTHight$raw_n524$initial$_n525 3 Top Mid Bot .names RTHight$raw_n524$initial$_n525 Top Mid Bot .names RTHight$raw_n524$initial$_n525 RTHight$raw_n524 - =RTHight$raw_n524$initial$_n525 # non-blocking assignments for initial .mv _n527 3 CWise Stop CCWise .names _n527 CWise # RTRotaryMotor == 0 .names RTRotaryMotor _n527 _n526 .def 0 - =RTRotaryMotor 1 .names _n526 _n528 - =_n526 .mv _n52b 3 S SSE SE .names _n52b SE .names RTAngle _n52b _n52a .def 0 - =RTAngle 1 .names _n52a _n529 1 1 0 0 # RTAngle = 1 .mv RTAngle$_n529_n52c$true 3 S SSE SE .names RTAngle$_n529_n52c$true SSE .mv _n52f 3 S SSE SE .names _n52f SSE .names RTAngle _n52f _n52e .def 0 - =RTAngle 1 .names _n52e _n52d 1 1 0 0 # RTAngle = 0 .mv RTAngle$_n52d_n530$true 3 S SSE SE .names RTAngle$_n52d_n530$true S # case (RTAngle ) .mv RTAngle$_n52d$raw_n533 3 S SSE SE .names RTAngle$_n52d_n530$true RTAngle _n52d RTAngle$_n52d$raw_n533 - - 0 =RTAngle - - 1 =RTAngle$_n52d_n530$true .mv RTAngle$_n529$raw_n534 3 S SSE SE .names RTAngle$_n529_n52c$true RTAngle$_n52d$raw_n533 _n529 RTAngle$_n529$raw_n534 - - 0 =RTAngle$_n52d$raw_n533 - - 1 =RTAngle$_n529_n52c$true # if/else (RTRotaryMotor == 0) .mv RTAngle$_n526$raw_n53a 3 S SSE SE .names RTAngle$_n529$raw_n534 RTAngle _n526 RTAngle$_n526$raw_n53a - - 0 =RTAngle - - 1 =RTAngle$_n529$raw_n534 .mv _n53c 3 CWise Stop CCWise .names _n53c CCWise # RTRotaryMotor == 2 .names RTRotaryMotor _n53c _n53b .def 0 - =RTRotaryMotor 1 .names _n53b _n53d - =_n53b .mv _n540 3 S SSE SE .names _n540 S .names RTAngle$_n526$raw_n53a _n540 _n53f .def 0 - =RTAngle$_n526$raw_n53a 1 .names _n53f _n53e 1 1 0 0 # RTAngle = 1 .mv RTAngle$_n53e_n541$true 3 S SSE SE .names RTAngle$_n53e_n541$true SSE .mv _n544 3 S SSE SE .names _n544 SSE .names RTAngle$_n526$raw_n53a _n544 _n543 .def 0 - =RTAngle$_n526$raw_n53a 1 .names _n543 _n542 1 1 0 0 # RTAngle = 2 .mv RTAngle$_n542_n545$true 3 S SSE SE .names RTAngle$_n542_n545$true SE # case (RTAngle ) .mv RTAngle$_n542$raw_n546 3 S SSE SE .names RTAngle$_n542_n545$true RTAngle$_n526$raw_n53a _n542 RTAngle$_n542$raw_n546 - - 0 =RTAngle$_n526$raw_n53a - - 1 =RTAngle$_n542_n545$true .mv RTAngle$_n53e$raw_n54a 3 S SSE SE .names RTAngle$_n53e_n541$true RTAngle$_n542$raw_n546 _n53e RTAngle$_n53e$raw_n54a - - 0 =RTAngle$_n542$raw_n546 - - 1 =RTAngle$_n53e_n541$true # if/else (RTRotaryMotor == 2) .mv RTAngle$_n53b$raw_n54e 3 S SSE SE .names RTAngle$_n53e$raw_n54a RTAngle$_n526$raw_n53a _n53b RTAngle$_n53b$raw_n54e - - 0 =RTAngle$_n526$raw_n53a - - 1 =RTAngle$_n53e$raw_n54a .mv _n553 3 GoUp GoDown Stop .names _n553 GoUp # RTVerticalMotor == 0 .names RTVerticalMotor _n553 _n552 .def 0 - =RTVerticalMotor 1 .names _n552 _n554 - =_n552 .mv _n557 3 Top Mid Bot .names _n557 Mid .names RTHight _n557 _n556 .def 0 - =RTHight 1 .names _n556 _n555 1 1 0 0 # RTHight = 0 .mv RTHight$_n555_n558$true 3 Top Mid Bot .names RTHight$_n555_n558$true Top .mv _n55b 3 Top Mid Bot .names _n55b Bot .names RTHight _n55b _n55a .def 0 - =RTHight 1 .names _n55a _n559 1 1 0 0 # RTHight = 1 .mv RTHight$_n559_n55c$true 3 Top Mid Bot .names RTHight$_n559_n55c$true Mid # case (RTHight ) .mv RTHight$_n559$raw_n562 3 Top Mid Bot .names RTHight$_n559_n55c$true RTHight _n559 RTHight$_n559$raw_n562 - - 0 =RTHight - - 1 =RTHight$_n559_n55c$true .mv RTHight$_n555$raw_n565 3 Top Mid Bot .names RTHight$_n555_n558$true RTHight$_n559$raw_n562 _n555 RTHight$_n555$raw_n565 - - 0 =RTHight$_n559$raw_n562 - - 1 =RTHight$_n555_n558$true # if/else (RTVerticalMotor == 0) .mv RTHight$_n552$raw_n571 3 Top Mid Bot .names RTHight$_n555$raw_n565 RTHight _n552 RTHight$_n552$raw_n571 - - 0 =RTHight - - 1 =RTHight$_n555$raw_n565 .mv _n574 3 GoUp GoDown Stop .names _n574 GoDown # RTVerticalMotor == 1 .names RTVerticalMotor _n574 _n573 .def 0 - =RTVerticalMotor 1 .names _n573 _n575 - =_n573 .mv _n578 3 Top Mid Bot .names _n578 Mid .names RTHight$_n552$raw_n571 _n578 _n577 .def 0 - =RTHight$_n552$raw_n571 1 .names _n577 _n576 1 1 0 0 # RTHight = 2 .mv RTHight$_n576_n579$true 3 Top Mid Bot .names RTHight$_n576_n579$true Bot .mv _n57c 3 Top Mid Bot .names _n57c Top .names RTHight$_n552$raw_n571 _n57c _n57b .def 0 - =RTHight$_n552$raw_n571 1 .names _n57b _n57a 1 1 0 0 # RTHight = 1 .mv RTHight$_n57a_n57d$true 3 Top Mid Bot .names RTHight$_n57a_n57d$true Mid # case (RTHight ) .mv RTHight$_n57a$raw_n57f 3 Top Mid Bot .names RTHight$_n57a_n57d$true RTHight$_n552$raw_n571 _n57a RTHight$_n57a$raw_n57f - - 0 =RTHight$_n552$raw_n571 - - 1 =RTHight$_n57a_n57d$true .mv RTHight$_n576$raw_n587 3 Top Mid Bot .names RTHight$_n576_n579$true RTHight$_n57a$raw_n57f _n576 RTHight$_n576$raw_n587 - - 0 =RTHight$_n57a$raw_n57f - - 1 =RTHight$_n576_n579$true # if/else (RTVerticalMotor == 1) .mv RTHight$_n573$raw_n58f 3 Top Mid Bot .names RTHight$_n576$raw_n587 RTHight$_n552$raw_n571 _n573 RTHight$_n573$raw_n58f - - 0 =RTHight$_n552$raw_n571 - - 1 =RTHight$_n576$raw_n587 # conflict arbitrators .names RTOnTop$raw_n516 RTOnTop - =RTOnTop$raw_n516 .names RTOnArm$raw_n510 RTOnArm - =RTOnArm$raw_n510 .names RTOnFB$raw_n50a RTOnFB - =RTOnFB$raw_n50a .names _n528 _n529 _n52d _n53d _n53e _n542 _n596 .def 0 1 1 - - - - 1 1 0 1 - - - 1 - - - 1 1 - 1 - - - 1 0 1 1 .mv _n597 3 S SSE SE .names _n596 RTAngle$_n53b$raw_n54e RTAngle _n597 1 - - =RTAngle$_n53b$raw_n54e 0 - - =RTAngle .names RTOnBottom$raw_n51c RTOnBottom - =RTOnBottom$raw_n51c .names _n554 _n555 _n559 _n575 _n576 _n57a _n5a2 .def 0 1 1 - - - - 1 1 0 1 - - - 1 - - - 1 1 - 1 - - - 1 0 1 1 .mv _n5a3 3 Top Mid Bot .names _n5a2 RTHight$_n573$raw_n58f RTHight _n5a3 1 - - =RTHight$_n573$raw_n58f 0 - - =RTHight # non-blocking assignments # latches .r RTAngle$raw_n522 RTAngle - =RTAngle$raw_n522 .latch _n597 RTAngle .r RTHight$raw_n524 RTHight - =RTHight$raw_n524 .latch _n5a3 RTHight # quasi-continuous assignment .end .model RotaryTableCNTR # I/O ports .inputs RTOnTop .inputs RTOnArm .inputs RTOnFB .outputs RTOutReady .outputs RTVerticalMotor .inputs RTOnBottom .outputs PieceGrabbedFromFB .outputs RTRotaryMotor .inputs PieceOutFB .inputs PieceGrabbedFromRT .mv TableLoaded 2 Y N .mv UpChoice 3 GoUp GoDown Stop .mv RTOnTop 2 Y N .mv RTOnArm 2 Y N .mv RTOnFB 2 Y N .mv CCWiseChoice 3 CWise Stop CCWise .mv DownChoice 3 GoUp GoDown Stop .mv RTOutReady 2 Y N .mv CWiseChoice 3 CWise Stop CCWise .mv RTVerticalMotor 3 GoUp GoDown Stop .mv RTOnBottom 2 Y N .mv PieceGrabbedFromFB 2 Y N .mv RTRotaryMotor 3 CWise Stop CCWise .mv PieceOutFB 2 Y N .mv PieceGrabbedFromRT 2 Y N # assign CWiseChoice = 0 .mv CWiseChoice$raw_n5ae 3 CWise Stop CCWise .names CWiseChoice$raw_n5ae CWise # assign CCWiseChoice = 2 .mv CCWiseChoice$raw_n5af 3 CWise Stop CCWise .names CCWiseChoice$raw_n5af CCWise # assign UpChoice = 0 .mv UpChoice$raw_n5b0 3 GoUp GoDown Stop .names UpChoice$raw_n5b0 GoUp # assign DownChoice = 1 .mv DownChoice$raw_n5b1 3 GoUp GoDown Stop .names DownChoice$raw_n5b1 GoDown # RTRotaryMotor = 1 .mv RTRotaryMotor$raw_n5b2 3 CWise Stop CCWise .names RTRotaryMotor$raw_n5b2 Stop # RTVerticalMotor = 2 .mv RTVerticalMotor$raw_n5b3 3 GoUp GoDown Stop .names RTVerticalMotor$raw_n5b3 Stop # PieceGrabbedFromFB = 1 .mv PieceGrabbedFromFB$raw_n5b4 2 Y N .names PieceGrabbedFromFB$raw_n5b4 N # RTOutReady = 1 .mv RTOutReady$raw_n5b5 2 Y N .names RTOutReady$raw_n5b5 N # TableLoaded = 1 .mv TableLoaded$raw_n5b6 2 Y N .names TableLoaded$raw_n5b6 N # non-blocking assignments for initial .mv _n5b9 2 Y N .names _n5b9 Y .names TableLoaded _n5b9 _n5b8 .def 0 - =TableLoaded 1 .names _n5b8 _n5b7 1 1 0 0 .mv _n5bb 2 Y N .names _n5bb Y # RTOnTop == 0 .names RTOnTop _n5bb _n5ba .def 0 - =RTOnTop 1 .names _n5ba _n5bc - =_n5ba .mv _n5be 2 Y N .names _n5be Y # RTOnFB == 0 .names RTOnFB _n5be _n5bd .def 0 - =RTOnFB 1 .names _n5bd _n5bf - =_n5bd # RTRotaryMotor = 2 .mv RTRotaryMotor$_n5bd_n5c0$true 3 CWise Stop CCWise .names RTRotaryMotor$_n5bd_n5c0$true CCWise # RTVerticalMotor = 2 .mv RTVerticalMotor$_n5bd_n5c1$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n5bd_n5c1$true Stop # if/else (RTOnFB == 0) .mv RTVerticalMotor$_n5bd$raw_n5c6 3 GoUp GoDown Stop .names RTVerticalMotor$_n5bd_n5c1$true RTVerticalMotor _n5bd RTVerticalMotor$_n5bd$raw_n5c6 - - 0 =RTVerticalMotor - - 1 =RTVerticalMotor$_n5bd_n5c1$true .mv RTRotaryMotor$_n5bd$raw_n5c7 3 CWise Stop CCWise .names RTRotaryMotor$_n5bd_n5c0$true RTRotaryMotor _n5bd RTRotaryMotor$_n5bd$raw_n5c7 - - 0 =RTRotaryMotor - - 1 =RTRotaryMotor$_n5bd_n5c0$true .mv _n5c9 2 Y N .names _n5c9 N # RTOnFB == 1 .names RTOnFB _n5c9 _n5c8 .def 0 - =RTOnFB 1 .mv _n5cb 2 Y N .names _n5cb N # RTOnArm == 1 .names RTOnArm _n5cb _n5ca .def 0 - =RTOnArm 1 # RTOnFB == 1 && RTOnArm == 1 .names _n5c8 _n5ca _n5cc .def 0 1 1 1 .names _n5cc _n5cd - =_n5cc # RTVerticalMotor = 2 .mv RTVerticalMotor$_n5cc_n5ce$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n5cc_n5ce$true Stop .mv _n5d0 3 CWise Stop CCWise .names _n5d0 CCWise # RTRotaryMotor == 2 .names RTRotaryMotor$_n5bd$raw_n5c7 _n5d0 _n5cf .def 0 - =RTRotaryMotor$_n5bd$raw_n5c7 1 .names _n5cf _n5d1 - =_n5cf # RTRotaryMotor = 1 .mv RTRotaryMotor$_n5cf_n5d2$true 3 CWise Stop CCWise .names RTRotaryMotor$_n5cf_n5d2$true Stop # RTOutReady = 0 .mv RTOutReady$_n5cf_n5d3$true 2 Y N .names RTOutReady$_n5cf_n5d3$true Y .mv _n5d5 3 CWise Stop CCWise .names _n5d5 Stop # RTRotaryMotor == 1 .names RTRotaryMotor$_n5bd$raw_n5c7 _n5d5 _n5d4 .def 0 - =RTRotaryMotor$_n5bd$raw_n5c7 1 .names _n5d4 _n5d6 - =_n5d4 # RTRotaryMotor = 2 .mv RTRotaryMotor$_n5d4_n5d7$true 3 CWise Stop CCWise .names RTRotaryMotor$_n5d4_n5d7$true CCWise # if/else (RTRotaryMotor == 1) .mv RTRotaryMotor$_n5d4$raw_n5d9 3 CWise Stop CCWise .names RTRotaryMotor$_n5d4_n5d7$true RTRotaryMotor$_n5bd$raw_n5c7 _n5d4 RTRotaryMotor$_n5d4$raw_n5d9 - - 0 =RTRotaryMotor$_n5bd$raw_n5c7 - - 1 =RTRotaryMotor$_n5d4_n5d7$true # if/else (RTRotaryMotor == 2) .mv RTRotaryMotor$_n5cf$raw_n5e2 3 CWise Stop CCWise .names RTRotaryMotor$_n5cf_n5d2$true RTRotaryMotor$_n5d4$raw_n5d9 _n5cf RTRotaryMotor$_n5cf$raw_n5e2 - - 0 =RTRotaryMotor$_n5d4$raw_n5d9 - - 1 =RTRotaryMotor$_n5cf_n5d2$true .mv RTOutReady$_n5cf$raw_n5e6 2 Y N .names RTOutReady$_n5cf_n5d3$true RTOutReady _n5cf RTOutReady$_n5cf$raw_n5e6 - - 0 =RTOutReady - - 1 =RTOutReady$_n5cf_n5d3$true # if/else (RTOnFB == 1 && RTOnArm == 1) .mv RTVerticalMotor$_n5cc$raw_n5ec 3 GoUp GoDown Stop .names RTVerticalMotor$_n5cc_n5ce$true RTVerticalMotor$_n5bd$raw_n5c6 _n5cc RTVerticalMotor$_n5cc$raw_n5ec - - 0 =RTVerticalMotor$_n5bd$raw_n5c6 - - 1 =RTVerticalMotor$_n5cc_n5ce$true .mv RTRotaryMotor$_n5cc$raw_n5ed 3 CWise Stop CCWise .names RTRotaryMotor$_n5cf$raw_n5e2 RTRotaryMotor$_n5bd$raw_n5c7 _n5cc RTRotaryMotor$_n5cc$raw_n5ed - - 0 =RTRotaryMotor$_n5bd$raw_n5c7 - - 1 =RTRotaryMotor$_n5cf$raw_n5e2 .mv RTOutReady$_n5cc$raw_n5f1 2 Y N .names RTOutReady$_n5cf$raw_n5e6 RTOutReady _n5cc RTOutReady$_n5cc$raw_n5f1 - - 0 =RTOutReady - - 1 =RTOutReady$_n5cf$raw_n5e6 .mv _n5f7 2 Y N .names _n5f7 Y # RTOnArm == 0 .names RTOnArm _n5f7 _n5f6 .def 0 - =RTOnArm 1 .names _n5f6 _n5f8 - =_n5f6 .mv _n5fa 2 Y N .names _n5fa Y # PieceGrabbedFromRT == 0 .names PieceGrabbedFromRT _n5fa _n5f9 .def 0 - =PieceGrabbedFromRT 1 .names _n5f9 _n5fb - =_n5f9 # RTOutReady = 1 .mv RTOutReady$_n5f9_n5fc$true 2 Y N .names RTOutReady$_n5f9_n5fc$true N # RTRotaryMotor = CWiseChoice .mv RTRotaryMotor$_n5f9_n5fd$true 3 CWise Stop CCWise .names CWiseChoice RTRotaryMotor$_n5f9_n5fd$true - =CWiseChoice # RTVerticalMotor = DownChoice .mv RTVerticalMotor$_n5f9_n5fe$true 3 GoUp GoDown Stop .names DownChoice RTVerticalMotor$_n5f9_n5fe$true - =DownChoice # TableLoaded = 1 .mv TableLoaded$_n5f9_n5ff$true 2 Y N .names TableLoaded$_n5f9_n5ff$true N # RTOutReady = 0 .mv RTOutReady$_n5f9_n600$false 2 Y N .names RTOutReady$_n5f9_n600$false Y # RTRotaryMotor = 1 .mv RTRotaryMotor$_n5f9_n601$false 3 CWise Stop CCWise .names RTRotaryMotor$_n5f9_n601$false Stop # RTVerticalMotor = 2 .mv RTVerticalMotor$_n5f9_n602$false 3 GoUp GoDown Stop .names RTVerticalMotor$_n5f9_n602$false Stop # if/else (PieceGrabbedFromRT == 0) .mv RTOutReady$_n5f9$raw_n604 2 Y N .names RTOutReady$_n5f9_n5fc$true RTOutReady$_n5f9_n600$false _n5f9 RTOutReady$_n5f9$raw_n604 - - 0 =RTOutReady$_n5f9_n600$false - - 1 =RTOutReady$_n5f9_n5fc$true .mv RTVerticalMotor$_n5f9$raw_n605 3 GoUp GoDown Stop .names RTVerticalMotor$_n5f9_n5fe$true RTVerticalMotor$_n5f9_n602$false _n5f9 RTVerticalMotor$_n5f9$raw_n605 - - 0 =RTVerticalMotor$_n5f9_n602$false - - 1 =RTVerticalMotor$_n5f9_n5fe$true .mv RTRotaryMotor$_n5f9$raw_n606 3 CWise Stop CCWise .names RTRotaryMotor$_n5f9_n5fd$true RTRotaryMotor$_n5f9_n601$false _n5f9 RTRotaryMotor$_n5f9$raw_n606 - - 0 =RTRotaryMotor$_n5f9_n601$false - - 1 =RTRotaryMotor$_n5f9_n5fd$true .mv TableLoaded$_n5f9$raw_n60b 2 Y N .names TableLoaded$_n5f9_n5ff$true TableLoaded _n5f9 TableLoaded$_n5f9$raw_n60b - - 0 =TableLoaded - - 1 =TableLoaded$_n5f9_n5ff$true # if/else (RTOnArm == 0) .mv RTOutReady$_n5f6$raw_n613 2 Y N .names RTOutReady$_n5f9$raw_n604 RTOutReady$_n5cc$raw_n5f1 _n5f6 RTOutReady$_n5f6$raw_n613 - - 0 =RTOutReady$_n5cc$raw_n5f1 - - 1 =RTOutReady$_n5f9$raw_n604 .mv RTVerticalMotor$_n5f6$raw_n614 3 GoUp GoDown Stop .names RTVerticalMotor$_n5f9$raw_n605 RTVerticalMotor$_n5cc$raw_n5ec _n5f6 RTVerticalMotor$_n5f6$raw_n614 - - 0 =RTVerticalMotor$_n5cc$raw_n5ec - - 1 =RTVerticalMotor$_n5f9$raw_n605 .mv RTRotaryMotor$_n5f6$raw_n615 3 CWise Stop CCWise .names RTRotaryMotor$_n5f9$raw_n606 RTRotaryMotor$_n5cc$raw_n5ed _n5f6 RTRotaryMotor$_n5f6$raw_n615 - - 0 =RTRotaryMotor$_n5cc$raw_n5ed - - 1 =RTRotaryMotor$_n5f9$raw_n606 .mv TableLoaded$_n5f6$raw_n61a 2 Y N .names TableLoaded$_n5f9$raw_n60b TableLoaded _n5f6 TableLoaded$_n5f6$raw_n61a - - 0 =TableLoaded - - 1 =TableLoaded$_n5f9$raw_n60b # if/else (RTOnTop == 0) .mv TableLoaded$_n5ba$raw_n629 2 Y N .names TableLoaded$_n5f6$raw_n61a TableLoaded _n5ba TableLoaded$_n5ba$raw_n629 - - 0 =TableLoaded - - 1 =TableLoaded$_n5f6$raw_n61a .mv RTOutReady$_n5ba$raw_n62a 2 Y N .names RTOutReady$_n5f6$raw_n613 RTOutReady _n5ba RTOutReady$_n5ba$raw_n62a - - 0 =RTOutReady - - 1 =RTOutReady$_n5f6$raw_n613 .mv RTVerticalMotor$_n5ba$raw_n62b 3 GoUp GoDown Stop .names RTVerticalMotor$_n5f6$raw_n614 RTVerticalMotor _n5ba RTVerticalMotor$_n5ba$raw_n62b - - 0 =RTVerticalMotor - - 1 =RTVerticalMotor$_n5f6$raw_n614 .mv RTRotaryMotor$_n5ba$raw_n62c 3 CWise Stop CCWise .names RTRotaryMotor$_n5f6$raw_n615 RTRotaryMotor _n5ba RTRotaryMotor$_n5ba$raw_n62c - - 0 =RTRotaryMotor - - 1 =RTRotaryMotor$_n5f6$raw_n615 .mv _n62e 2 Y N .names _n62e N # RTOnTop == 1 .names RTOnTop _n62e _n62d .def 0 - =RTOnTop 1 .mv _n630 2 Y N .names _n630 N # RTOnBottom == 1 .names RTOnBottom _n630 _n62f .def 0 - =RTOnBottom 1 # RTOnTop == 1 && RTOnBottom == 1 .names _n62d _n62f _n631 .def 0 1 1 1 .names _n631 _n632 - =_n631 .mv _n634 2 Y N .names _n634 Y # RTOnFB == 0 .names RTOnFB _n634 _n633 .def 0 - =RTOnFB 1 .names _n633 _n635 - =_n633 # RTRotaryMotor = CCWiseChoice .mv RTRotaryMotor$_n633_n636$true 3 CWise Stop CCWise .names CCWiseChoice RTRotaryMotor$_n633_n636$true - =CCWiseChoice .mv _n638 3 GoUp GoDown Stop .names _n638 GoUp # RTVerticalMotor == 0 .names RTVerticalMotor$_n5ba$raw_n62b _n638 _n637 .def 0 - =RTVerticalMotor$_n5ba$raw_n62b 1 .names _n637 _n639 - =_n637 # RTVerticalMotor = 2 .mv RTVerticalMotor$_n637_n63a$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n637_n63a$true Stop # RTVerticalMotor = UpChoice .mv RTVerticalMotor$_n637_n63b$false 3 GoUp GoDown Stop .names UpChoice RTVerticalMotor$_n637_n63b$false - =UpChoice # if/else (RTVerticalMotor == 0) .mv RTVerticalMotor$_n637$raw_n63e 3 GoUp GoDown Stop .names RTVerticalMotor$_n637_n63a$true RTVerticalMotor$_n637_n63b$false _n637 RTVerticalMotor$_n637$raw_n63e - - 0 =RTVerticalMotor$_n637_n63b$false - - 1 =RTVerticalMotor$_n637_n63a$true # if/else (RTOnFB == 0) .mv RTVerticalMotor$_n633$raw_n64e 3 GoUp GoDown Stop .names RTVerticalMotor$_n637$raw_n63e RTVerticalMotor$_n5ba$raw_n62b _n633 RTVerticalMotor$_n633$raw_n64e - - 0 =RTVerticalMotor$_n5ba$raw_n62b - - 1 =RTVerticalMotor$_n637$raw_n63e .mv RTRotaryMotor$_n633$raw_n64f 3 CWise Stop CCWise .names RTRotaryMotor$_n633_n636$true RTRotaryMotor$_n5ba$raw_n62c _n633 RTRotaryMotor$_n633$raw_n64f - - 0 =RTRotaryMotor$_n5ba$raw_n62c - - 1 =RTRotaryMotor$_n633_n636$true .mv _n65d 2 Y N .names _n65d N # RTOnFB == 1 .names RTOnFB _n65d _n65c .def 0 - =RTOnFB 1 .mv _n65f 2 Y N .names _n65f N # RTOnArm == 1 .names RTOnArm _n65f _n65e .def 0 - =RTOnArm 1 # RTOnFB == 1 && RTOnArm == 1 .names _n65c _n65e _n660 .def 0 1 1 1 .names _n660 _n661 - =_n660 .mv _n663 3 CWise Stop CCWise .names _n663 CCWise # RTRotaryMotor == 2 .names RTRotaryMotor$_n633$raw_n64f _n663 _n662 .def 0 - =RTRotaryMotor$_n633$raw_n64f 1 .mv _n665 3 GoUp GoDown Stop .names _n665 GoUp # RTVerticalMotor == 0 .names RTVerticalMotor$_n633$raw_n64e _n665 _n664 .def 0 - =RTVerticalMotor$_n633$raw_n64e 1 # RTRotaryMotor == 2 && RTVerticalMotor == 0 .names _n662 _n664 _n666 .def 0 1 1 1 .names _n666 _n667 - =_n666 # RTRotaryMotor = 1 .mv RTRotaryMotor$_n666_n668$true 3 CWise Stop CCWise .names RTRotaryMotor$_n666_n668$true Stop # RTVerticalMotor = 2 .mv RTVerticalMotor$_n666_n669$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n666_n669$true Stop # RTOutReady = 0 .mv RTOutReady$_n666_n66a$true 2 Y N .names RTOutReady$_n666_n66a$true Y .mv _n66c 3 CWise Stop CCWise .names _n66c CCWise # RTRotaryMotor != 2 .names RTRotaryMotor$_n633$raw_n64f _n66c _n66b .def 1 - =RTRotaryMotor$_n633$raw_n64f 0 .mv _n66e 3 GoUp GoDown Stop .names _n66e GoUp # RTVerticalMotor == 0 .names RTVerticalMotor$_n633$raw_n64e _n66e _n66d .def 0 - =RTVerticalMotor$_n633$raw_n64e 1 # RTRotaryMotor != 2 && RTVerticalMotor == 0 .names _n66b _n66d _n66f .def 0 1 1 1 .names _n66f _n670 - =_n66f # RTRotaryMotor = CCWiseChoice .mv RTRotaryMotor$_n66f_n671$true 3 CWise Stop CCWise .names CCWiseChoice RTRotaryMotor$_n66f_n671$true - =CCWiseChoice # RTVerticalMotor = 2 .mv RTVerticalMotor$_n66f_n672$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n66f_n672$true Stop .mv _n674 3 CWise Stop CCWise .names _n674 CCWise # RTRotaryMotor == 2 .names RTRotaryMotor$_n633$raw_n64f _n674 _n673 .def 0 - =RTRotaryMotor$_n633$raw_n64f 1 .mv _n676 3 GoUp GoDown Stop .names _n676 GoUp # RTVerticalMotor != 0 .names RTVerticalMotor$_n633$raw_n64e _n676 _n675 .def 1 - =RTVerticalMotor$_n633$raw_n64e 0 # RTRotaryMotor == 2 && RTVerticalMotor != 0 .names _n673 _n675 _n677 .def 0 1 1 1 .names _n677 _n678 - =_n677 # RTRotaryMotor = 1 .mv RTRotaryMotor$_n677_n679$true 3 CWise Stop CCWise .names RTRotaryMotor$_n677_n679$true Stop # RTVerticalMotor = UpChoice .mv RTVerticalMotor$_n677_n67a$true 3 GoUp GoDown Stop .names UpChoice RTVerticalMotor$_n677_n67a$true - =UpChoice .mv _n67c 3 CWise Stop CCWise .names _n67c CCWise # RTRotaryMotor != 2 .names RTRotaryMotor$_n633$raw_n64f _n67c _n67b .def 1 - =RTRotaryMotor$_n633$raw_n64f 0 .mv _n67e 3 GoUp GoDown Stop .names _n67e GoUp # RTVerticalMotor != 0 .names RTVerticalMotor$_n633$raw_n64e _n67e _n67d .def 1 - =RTVerticalMotor$_n633$raw_n64e 0 # RTRotaryMotor != 2 && RTVerticalMotor != 0 .names _n67b _n67d _n67f .def 0 1 1 1 .names _n67f _n680 - =_n67f # RTRotaryMotor = CCWiseChoice .mv RTRotaryMotor$_n67f_n681$true 3 CWise Stop CCWise .names CCWiseChoice RTRotaryMotor$_n67f_n681$true - =CCWiseChoice # RTVerticalMotor = UpChoice .mv RTVerticalMotor$_n67f_n682$true 3 GoUp GoDown Stop .names UpChoice RTVerticalMotor$_n67f_n682$true - =UpChoice # if/else (RTRotaryMotor != 2 && RTVerticalMotor != 0) .mv RTVerticalMotor$_n67f$raw_n685 3 GoUp GoDown Stop .names RTVerticalMotor$_n67f_n682$true RTVerticalMotor$_n633$raw_n64e _n67f RTVerticalMotor$_n67f$raw_n685 - - 0 =RTVerticalMotor$_n633$raw_n64e - - 1 =RTVerticalMotor$_n67f_n682$true .mv RTRotaryMotor$_n67f$raw_n686 3 CWise Stop CCWise .names RTRotaryMotor$_n67f_n681$true RTRotaryMotor$_n633$raw_n64f _n67f RTRotaryMotor$_n67f$raw_n686 - - 0 =RTRotaryMotor$_n633$raw_n64f - - 1 =RTRotaryMotor$_n67f_n681$true # if/else (RTRotaryMotor == 2 && RTVerticalMotor != 0) .mv RTVerticalMotor$_n677$raw_n695 3 GoUp GoDown Stop .names RTVerticalMotor$_n677_n67a$true RTVerticalMotor$_n67f$raw_n685 _n677 RTVerticalMotor$_n677$raw_n695 - - 0 =RTVerticalMotor$_n67f$raw_n685 - - 1 =RTVerticalMotor$_n677_n67a$true .mv RTRotaryMotor$_n677$raw_n696 3 CWise Stop CCWise .names RTRotaryMotor$_n677_n679$true RTRotaryMotor$_n67f$raw_n686 _n677 RTRotaryMotor$_n677$raw_n696 - - 0 =RTRotaryMotor$_n67f$raw_n686 - - 1 =RTRotaryMotor$_n677_n679$true # if/else (RTRotaryMotor != 2 && RTVerticalMotor == 0) .mv RTVerticalMotor$_n66f$raw_n6a5 3 GoUp GoDown Stop .names RTVerticalMotor$_n66f_n672$true RTVerticalMotor$_n677$raw_n695 _n66f RTVerticalMotor$_n66f$raw_n6a5 - - 0 =RTVerticalMotor$_n677$raw_n695 - - 1 =RTVerticalMotor$_n66f_n672$true .mv RTRotaryMotor$_n66f$raw_n6a6 3 CWise Stop CCWise .names RTRotaryMotor$_n66f_n671$true RTRotaryMotor$_n677$raw_n696 _n66f RTRotaryMotor$_n66f$raw_n6a6 - - 0 =RTRotaryMotor$_n677$raw_n696 - - 1 =RTRotaryMotor$_n66f_n671$true # if/else (RTRotaryMotor == 2 && RTVerticalMotor == 0) .mv RTOutReady$_n666$raw_n6b4 2 Y N .names RTOutReady$_n666_n66a$true RTOutReady$_n5ba$raw_n62a _n666 RTOutReady$_n666$raw_n6b4 - - 0 =RTOutReady$_n5ba$raw_n62a - - 1 =RTOutReady$_n666_n66a$true .mv RTVerticalMotor$_n666$raw_n6b5 3 GoUp GoDown Stop .names RTVerticalMotor$_n666_n669$true RTVerticalMotor$_n66f$raw_n6a5 _n666 RTVerticalMotor$_n666$raw_n6b5 - - 0 =RTVerticalMotor$_n66f$raw_n6a5 - - 1 =RTVerticalMotor$_n666_n669$true .mv RTRotaryMotor$_n666$raw_n6b6 3 CWise Stop CCWise .names RTRotaryMotor$_n666_n668$true RTRotaryMotor$_n66f$raw_n6a6 _n666 RTRotaryMotor$_n666$raw_n6b6 - - 0 =RTRotaryMotor$_n66f$raw_n6a6 - - 1 =RTRotaryMotor$_n666_n668$true # if/else (RTOnFB == 1 && RTOnArm == 1) .mv RTOutReady$_n660$raw_n6c4 2 Y N .names RTOutReady$_n666$raw_n6b4 RTOutReady$_n5ba$raw_n62a _n660 RTOutReady$_n660$raw_n6c4 - - 0 =RTOutReady$_n5ba$raw_n62a - - 1 =RTOutReady$_n666$raw_n6b4 .mv RTVerticalMotor$_n660$raw_n6c5 3 GoUp GoDown Stop .names RTVerticalMotor$_n666$raw_n6b5 RTVerticalMotor$_n633$raw_n64e _n660 RTVerticalMotor$_n660$raw_n6c5 - - 0 =RTVerticalMotor$_n633$raw_n64e - - 1 =RTVerticalMotor$_n666$raw_n6b5 .mv RTRotaryMotor$_n660$raw_n6c6 3 CWise Stop CCWise .names RTRotaryMotor$_n666$raw_n6b6 RTRotaryMotor$_n633$raw_n64f _n660 RTRotaryMotor$_n660$raw_n6c6 - - 0 =RTRotaryMotor$_n633$raw_n64f - - 1 =RTRotaryMotor$_n666$raw_n6b6 .mv _n6d4 2 Y N .names _n6d4 Y # RTOnArm == 0 .names RTOnArm _n6d4 _n6d3 .def 0 - =RTOnArm 1 .names _n6d3 _n6d5 - =_n6d3 # RTRotaryMotor = 1 .mv RTRotaryMotor$_n6d3_n6d6$true 3 CWise Stop CCWise .names RTRotaryMotor$_n6d3_n6d6$true Stop .mv _n6d8 3 GoUp GoDown Stop .names _n6d8 GoUp # RTVerticalMotor == 0 .names RTVerticalMotor$_n660$raw_n6c5 _n6d8 _n6d7 .def 0 - =RTVerticalMotor$_n660$raw_n6c5 1 .names _n6d7 _n6d9 - =_n6d7 # RTVerticalMotor = 2 .mv RTVerticalMotor$_n6d7_n6da$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n6d7_n6da$true Stop # RTOutReady = 0 .mv RTOutReady$_n6d7_n6db$true 2 Y N .names RTOutReady$_n6d7_n6db$true Y # RTVerticalMotor = 0 .mv RTVerticalMotor$_n6d7_n6dc$false 3 GoUp GoDown Stop .names RTVerticalMotor$_n6d7_n6dc$false GoUp # if/else (RTVerticalMotor == 0) .mv RTOutReady$_n6d7$raw_n6de 2 Y N .names RTOutReady$_n6d7_n6db$true RTOutReady$_n660$raw_n6c4 _n6d7 RTOutReady$_n6d7$raw_n6de - - 0 =RTOutReady$_n660$raw_n6c4 - - 1 =RTOutReady$_n6d7_n6db$true .mv RTVerticalMotor$_n6d7$raw_n6df 3 GoUp GoDown Stop .names RTVerticalMotor$_n6d7_n6da$true RTVerticalMotor$_n6d7_n6dc$false _n6d7 RTVerticalMotor$_n6d7$raw_n6df - - 0 =RTVerticalMotor$_n6d7_n6dc$false - - 1 =RTVerticalMotor$_n6d7_n6da$true # if/else (RTOnArm == 0) .mv RTOutReady$_n6d3$raw_n6ee 2 Y N .names RTOutReady$_n6d7$raw_n6de RTOutReady$_n660$raw_n6c4 _n6d3 RTOutReady$_n6d3$raw_n6ee - - 0 =RTOutReady$_n660$raw_n6c4 - - 1 =RTOutReady$_n6d7$raw_n6de .mv RTVerticalMotor$_n6d3$raw_n6ef 3 GoUp GoDown Stop .names RTVerticalMotor$_n6d7$raw_n6df RTVerticalMotor$_n660$raw_n6c5 _n6d3 RTVerticalMotor$_n6d3$raw_n6ef - - 0 =RTVerticalMotor$_n660$raw_n6c5 - - 1 =RTVerticalMotor$_n6d7$raw_n6df .mv RTRotaryMotor$_n6d3$raw_n6f0 3 CWise Stop CCWise .names RTRotaryMotor$_n6d3_n6d6$true RTRotaryMotor$_n660$raw_n6c6 _n6d3 RTRotaryMotor$_n6d3$raw_n6f0 - - 0 =RTRotaryMotor$_n660$raw_n6c6 - - 1 =RTRotaryMotor$_n6d3_n6d6$true # if/else (RTOnTop == 1 && RTOnBottom == 1) .mv RTOutReady$_n631$raw_n6fe 2 Y N .names RTOutReady$_n6d3$raw_n6ee RTOutReady$_n5ba$raw_n62a _n631 RTOutReady$_n631$raw_n6fe - - 0 =RTOutReady$_n5ba$raw_n62a - - 1 =RTOutReady$_n6d3$raw_n6ee .mv RTVerticalMotor$_n631$raw_n6ff 3 GoUp GoDown Stop .names RTVerticalMotor$_n6d3$raw_n6ef RTVerticalMotor$_n5ba$raw_n62b _n631 RTVerticalMotor$_n631$raw_n6ff - - 0 =RTVerticalMotor$_n5ba$raw_n62b - - 1 =RTVerticalMotor$_n6d3$raw_n6ef .mv RTRotaryMotor$_n631$raw_n700 3 CWise Stop CCWise .names RTRotaryMotor$_n6d3$raw_n6f0 RTRotaryMotor$_n5ba$raw_n62c _n631 RTRotaryMotor$_n631$raw_n700 - - 0 =RTRotaryMotor$_n5ba$raw_n62c - - 1 =RTRotaryMotor$_n6d3$raw_n6f0 .mv _n70e 2 Y N .names _n70e Y # RTOnBottom == 0 .names RTOnBottom _n70e _n70d .def 0 - =RTOnBottom 1 .names _n70d _n70f - =_n70d .mv _n711 2 Y N .names _n711 Y # RTOnFB == 0 .names RTOnFB _n711 _n710 .def 0 - =RTOnFB 1 .names _n710 _n712 - =_n710 # RTRotaryMotor = CCWiseChoice .mv RTRotaryMotor$_n710_n713$true 3 CWise Stop CCWise .names CCWiseChoice RTRotaryMotor$_n710_n713$true - =CCWiseChoice # RTVerticalMotor = UpChoice .mv RTVerticalMotor$_n710_n714$true 3 GoUp GoDown Stop .names UpChoice RTVerticalMotor$_n710_n714$true - =UpChoice # if/else (RTOnFB == 0) .mv RTVerticalMotor$_n710$raw_n717 3 GoUp GoDown Stop .names RTVerticalMotor$_n710_n714$true RTVerticalMotor$_n631$raw_n6ff _n710 RTVerticalMotor$_n710$raw_n717 - - 0 =RTVerticalMotor$_n631$raw_n6ff - - 1 =RTVerticalMotor$_n710_n714$true .mv RTRotaryMotor$_n710$raw_n718 3 CWise Stop CCWise .names RTRotaryMotor$_n710_n713$true RTRotaryMotor$_n631$raw_n700 _n710 RTRotaryMotor$_n710$raw_n718 - - 0 =RTRotaryMotor$_n631$raw_n700 - - 1 =RTRotaryMotor$_n710_n713$true .mv _n726 2 Y N .names _n726 N # RTOnFB == 1 .names RTOnFB _n726 _n725 .def 0 - =RTOnFB 1 .mv _n728 2 Y N .names _n728 N # RTOnArm == 1 .names RTOnArm _n728 _n727 .def 0 - =RTOnArm 1 # RTOnFB == 1 && RTOnArm == 1 .names _n725 _n727 _n729 .def 0 1 1 1 .names _n729 _n72a - =_n729 # RTVerticalMotor = UpChoice .mv RTVerticalMotor$_n729_n72b$true 3 GoUp GoDown Stop .names UpChoice RTVerticalMotor$_n729_n72b$true - =UpChoice .mv _n72d 3 CWise Stop CCWise .names _n72d CCWise # RTRotaryMotor == 2 .names RTRotaryMotor$_n710$raw_n718 _n72d _n72c .def 0 - =RTRotaryMotor$_n710$raw_n718 1 .names _n72c _n72e - =_n72c # RTRotaryMotor = 1 .mv RTRotaryMotor$_n72c_n72f$true 3 CWise Stop CCWise .names RTRotaryMotor$_n72c_n72f$true Stop # RTRotaryMotor = CCWiseChoice .mv RTRotaryMotor$_n72c_n730$false 3 CWise Stop CCWise .names CCWiseChoice RTRotaryMotor$_n72c_n730$false - =CCWiseChoice # if/else (RTRotaryMotor == 2) .mv RTRotaryMotor$_n72c$raw_n734 3 CWise Stop CCWise .names RTRotaryMotor$_n72c_n72f$true RTRotaryMotor$_n72c_n730$false _n72c RTRotaryMotor$_n72c$raw_n734 - - 0 =RTRotaryMotor$_n72c_n730$false - - 1 =RTRotaryMotor$_n72c_n72f$true # if/else (RTOnFB == 1 && RTOnArm == 1) .mv RTVerticalMotor$_n729$raw_n743 3 GoUp GoDown Stop .names RTVerticalMotor$_n729_n72b$true RTVerticalMotor$_n710$raw_n717 _n729 RTVerticalMotor$_n729$raw_n743 - - 0 =RTVerticalMotor$_n710$raw_n717 - - 1 =RTVerticalMotor$_n729_n72b$true .mv RTRotaryMotor$_n729$raw_n744 3 CWise Stop CCWise .names RTRotaryMotor$_n72c$raw_n734 RTRotaryMotor$_n710$raw_n718 _n729 RTRotaryMotor$_n729$raw_n744 - - 0 =RTRotaryMotor$_n710$raw_n718 - - 1 =RTRotaryMotor$_n72c$raw_n734 .mv _n752 2 Y N .names _n752 Y # RTOnArm == 0 .names RTOnArm _n752 _n751 .def 0 - =RTOnArm 1 .names _n751 _n753 - =_n751 # RTRotaryMotor = 1 .mv RTRotaryMotor$_n751_n754$true 3 CWise Stop CCWise .names RTRotaryMotor$_n751_n754$true Stop # RTVerticalMotor = 0 .mv RTVerticalMotor$_n751_n755$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n751_n755$true GoUp # if/else (RTOnArm == 0) .mv RTVerticalMotor$_n751$raw_n758 3 GoUp GoDown Stop .names RTVerticalMotor$_n751_n755$true RTVerticalMotor$_n729$raw_n743 _n751 RTVerticalMotor$_n751$raw_n758 - - 0 =RTVerticalMotor$_n729$raw_n743 - - 1 =RTVerticalMotor$_n751_n755$true .mv RTRotaryMotor$_n751$raw_n759 3 CWise Stop CCWise .names RTRotaryMotor$_n751_n754$true RTRotaryMotor$_n729$raw_n744 _n751 RTRotaryMotor$_n751$raw_n759 - - 0 =RTRotaryMotor$_n729$raw_n744 - - 1 =RTRotaryMotor$_n751_n754$true # if/else (RTOnBottom == 0) .mv RTVerticalMotor$_n70d$raw_n768 3 GoUp GoDown Stop .names RTVerticalMotor$_n751$raw_n758 RTVerticalMotor$_n631$raw_n6ff _n70d RTVerticalMotor$_n70d$raw_n768 - - 0 =RTVerticalMotor$_n631$raw_n6ff - - 1 =RTVerticalMotor$_n751$raw_n758 .mv RTRotaryMotor$_n70d$raw_n769 3 CWise Stop CCWise .names RTRotaryMotor$_n751$raw_n759 RTRotaryMotor$_n631$raw_n700 _n70d RTRotaryMotor$_n70d$raw_n769 - - 0 =RTRotaryMotor$_n631$raw_n700 - - 1 =RTRotaryMotor$_n751$raw_n759 .mv _n778 2 Y N .names _n778 N .names TableLoaded _n778 _n777 .def 0 - =TableLoaded 1 .names _n777 _n776 1 1 0 0 .mv _n77a 2 Y N .names _n77a Y # RTOnTop == 0 .names RTOnTop _n77a _n779 .def 0 - =RTOnTop 1 .names _n779 _n77b - =_n779 .mv _n77d 2 Y N .names _n77d Y # RTOnFB == 0 .names RTOnFB _n77d _n77c .def 0 - =RTOnFB 1 .names _n77c _n77e - =_n77c # RTRotaryMotor = 1 .mv RTRotaryMotor$_n77c_n77f$true 3 CWise Stop CCWise .names RTRotaryMotor$_n77c_n77f$true Stop # RTVerticalMotor = 1 .mv RTVerticalMotor$_n77c_n780$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n77c_n780$true GoDown # if/else (RTOnFB == 0) .mv RTVerticalMotor$_n77c$raw_n785 3 GoUp GoDown Stop .names RTVerticalMotor$_n77c_n780$true RTVerticalMotor _n77c RTVerticalMotor$_n77c$raw_n785 - - 0 =RTVerticalMotor - - 1 =RTVerticalMotor$_n77c_n780$true .mv RTRotaryMotor$_n77c$raw_n786 3 CWise Stop CCWise .names RTRotaryMotor$_n77c_n77f$true RTRotaryMotor _n77c RTRotaryMotor$_n77c$raw_n786 - - 0 =RTRotaryMotor - - 1 =RTRotaryMotor$_n77c_n77f$true .mv _n788 2 Y N .names _n788 N # RTOnFB == 1 .names RTOnFB _n788 _n787 .def 0 - =RTOnFB 1 .mv _n78a 2 Y N .names _n78a N # RTOnArm == 1 .names RTOnArm _n78a _n789 .def 0 - =RTOnArm 1 # RTOnFB == 1 && RTOnArm == 1 .names _n787 _n789 _n78b .def 0 1 1 1 .names _n78b _n78c - =_n78b # RTVerticalMotor = DownChoice .mv RTVerticalMotor$_n78b_n78d$true 3 GoUp GoDown Stop .names DownChoice RTVerticalMotor$_n78b_n78d$true - =DownChoice .mv _n78f 3 CWise Stop CCWise .names _n78f CWise # RTRotaryMotor == 0 .names RTRotaryMotor$_n77c$raw_n786 _n78f _n78e .def 0 - =RTRotaryMotor$_n77c$raw_n786 1 .names _n78e _n790 - =_n78e # RTRotaryMotor = 1 .mv RTRotaryMotor$_n78e_n791$true 3 CWise Stop CCWise .names RTRotaryMotor$_n78e_n791$true Stop # RTRotaryMotor = CWiseChoice .mv RTRotaryMotor$_n78e_n792$false 3 CWise Stop CCWise .names CWiseChoice RTRotaryMotor$_n78e_n792$false - =CWiseChoice # if/else (RTRotaryMotor == 0) .mv RTRotaryMotor$_n78e$raw_n794 3 CWise Stop CCWise .names RTRotaryMotor$_n78e_n791$true RTRotaryMotor$_n78e_n792$false _n78e RTRotaryMotor$_n78e$raw_n794 - - 0 =RTRotaryMotor$_n78e_n792$false - - 1 =RTRotaryMotor$_n78e_n791$true # if/else (RTOnFB == 1 && RTOnArm == 1) .mv RTVerticalMotor$_n78b$raw_n79b 3 GoUp GoDown Stop .names RTVerticalMotor$_n78b_n78d$true RTVerticalMotor$_n77c$raw_n785 _n78b RTVerticalMotor$_n78b$raw_n79b - - 0 =RTVerticalMotor$_n77c$raw_n785 - - 1 =RTVerticalMotor$_n78b_n78d$true .mv RTRotaryMotor$_n78b$raw_n79c 3 CWise Stop CCWise .names RTRotaryMotor$_n78e$raw_n794 RTRotaryMotor$_n77c$raw_n786 _n78b RTRotaryMotor$_n78b$raw_n79c - - 0 =RTRotaryMotor$_n77c$raw_n786 - - 1 =RTRotaryMotor$_n78e$raw_n794 .mv _n7a4 2 Y N .names _n7a4 Y # RTOnArm == 0 .names RTOnArm _n7a4 _n7a3 .def 0 - =RTOnArm 1 .names _n7a3 _n7a5 - =_n7a3 # RTRotaryMotor = CWiseChoice .mv RTRotaryMotor$_n7a3_n7a6$true 3 CWise Stop CCWise .names CWiseChoice RTRotaryMotor$_n7a3_n7a6$true - =CWiseChoice # RTVerticalMotor = DownChoice .mv RTVerticalMotor$_n7a3_n7a7$true 3 GoUp GoDown Stop .names DownChoice RTVerticalMotor$_n7a3_n7a7$true - =DownChoice # if/else (RTOnArm == 0) .mv RTVerticalMotor$_n7a3$raw_n7a8 3 GoUp GoDown Stop .names RTVerticalMotor$_n7a3_n7a7$true RTVerticalMotor$_n78b$raw_n79b _n7a3 RTVerticalMotor$_n7a3$raw_n7a8 - - 0 =RTVerticalMotor$_n78b$raw_n79b - - 1 =RTVerticalMotor$_n7a3_n7a7$true .mv RTRotaryMotor$_n7a3$raw_n7a9 3 CWise Stop CCWise .names RTRotaryMotor$_n7a3_n7a6$true RTRotaryMotor$_n78b$raw_n79c _n7a3 RTRotaryMotor$_n7a3$raw_n7a9 - - 0 =RTRotaryMotor$_n78b$raw_n79c - - 1 =RTRotaryMotor$_n7a3_n7a6$true # if/else (RTOnTop == 0) .mv RTVerticalMotor$_n779$raw_n7b4 3 GoUp GoDown Stop .names RTVerticalMotor$_n7a3$raw_n7a8 RTVerticalMotor _n779 RTVerticalMotor$_n779$raw_n7b4 - - 0 =RTVerticalMotor - - 1 =RTVerticalMotor$_n7a3$raw_n7a8 .mv RTRotaryMotor$_n779$raw_n7b5 3 CWise Stop CCWise .names RTRotaryMotor$_n7a3$raw_n7a9 RTRotaryMotor _n779 RTRotaryMotor$_n779$raw_n7b5 - - 0 =RTRotaryMotor - - 1 =RTRotaryMotor$_n7a3$raw_n7a9 .mv _n7b7 2 Y N .names _n7b7 N # RTOnTop == 1 .names RTOnTop _n7b7 _n7b6 .def 0 - =RTOnTop 1 .mv _n7b9 2 Y N .names _n7b9 N # RTOnBottom == 1 .names RTOnBottom _n7b9 _n7b8 .def 0 - =RTOnBottom 1 # RTOnTop == 1 && RTOnBottom == 1 .names _n7b6 _n7b8 _n7ba .def 0 1 1 1 .names _n7ba _n7bb - =_n7ba .mv _n7bd 2 Y N .names _n7bd Y # RTOnFB == 0 .names RTOnFB _n7bd _n7bc .def 0 - =RTOnFB 1 .names _n7bc _n7be - =_n7bc .mv _n7c0 3 GoUp GoDown Stop .names _n7c0 GoDown # RTVerticalMotor == 1 .names RTVerticalMotor$_n779$raw_n7b4 _n7c0 _n7bf .def 0 - =RTVerticalMotor$_n779$raw_n7b4 1 .names _n7bf _n7c1 - =_n7bf .mv _n7c3 2 Y N .names _n7c3 Y # PieceOutFB == 0 .names PieceOutFB _n7c3 _n7c2 .def 0 - =PieceOutFB 1 .names _n7c2 _n7c4 - =_n7c2 # TableLoaded = 0 .mv TableLoaded$_n7c2_n7c5$true 2 Y N .names TableLoaded$_n7c2_n7c5$true Y # PieceGrabbedFromFB = 0 .mv PieceGrabbedFromFB$_n7c2_n7c6$true 2 Y N .names PieceGrabbedFromFB$_n7c2_n7c6$true Y # RTRotaryMotor = CCWiseChoice .mv RTRotaryMotor$_n7c2_n7c7$true 3 CWise Stop CCWise .names CCWiseChoice RTRotaryMotor$_n7c2_n7c7$true - =CCWiseChoice # RTVerticalMotor = UpChoice .mv RTVerticalMotor$_n7c2_n7c8$true 3 GoUp GoDown Stop .names UpChoice RTVerticalMotor$_n7c2_n7c8$true - =UpChoice # RTRotaryMotor = 1 .mv RTRotaryMotor$_n7c2_n7c9$false 3 CWise Stop CCWise .names RTRotaryMotor$_n7c2_n7c9$false Stop # RTVerticalMotor = 2 .mv RTVerticalMotor$_n7c2_n7ca$false 3 GoUp GoDown Stop .names RTVerticalMotor$_n7c2_n7ca$false Stop # if/else (PieceOutFB == 0) .mv RTVerticalMotor$_n7c2$raw_n7cc 3 GoUp GoDown Stop .names RTVerticalMotor$_n7c2_n7c8$true RTVerticalMotor$_n7c2_n7ca$false _n7c2 RTVerticalMotor$_n7c2$raw_n7cc - - 0 =RTVerticalMotor$_n7c2_n7ca$false - - 1 =RTVerticalMotor$_n7c2_n7c8$true .mv RTRotaryMotor$_n7c2$raw_n7ce 3 CWise Stop CCWise .names RTRotaryMotor$_n7c2_n7c7$true RTRotaryMotor$_n7c2_n7c9$false _n7c2 RTRotaryMotor$_n7c2$raw_n7ce - - 0 =RTRotaryMotor$_n7c2_n7c9$false - - 1 =RTRotaryMotor$_n7c2_n7c7$true .mv TableLoaded$_n7c2$raw_n7d3 2 Y N .names TableLoaded$_n7c2_n7c5$true TableLoaded _n7c2 TableLoaded$_n7c2$raw_n7d3 - - 0 =TableLoaded - - 1 =TableLoaded$_n7c2_n7c5$true .mv PieceGrabbedFromFB$_n7c2$raw_n7d5 2 Y N .names PieceGrabbedFromFB$_n7c2_n7c6$true PieceGrabbedFromFB _n7c2 PieceGrabbedFromFB$_n7c2$raw_n7d5 - - 0 =PieceGrabbedFromFB - - 1 =PieceGrabbedFromFB$_n7c2_n7c6$true # RTRotaryMotor = 1 .mv RTRotaryMotor$_n7bf_n7d9$false 3 CWise Stop CCWise .names RTRotaryMotor$_n7bf_n7d9$false Stop # RTVerticalMotor = 1 .mv RTVerticalMotor$_n7bf_n7da$false 3 GoUp GoDown Stop .names RTVerticalMotor$_n7bf_n7da$false GoDown # if/else (RTVerticalMotor == 1) .mv RTVerticalMotor$_n7bf$raw_n7dc 3 GoUp GoDown Stop .names RTVerticalMotor$_n7c2$raw_n7cc RTVerticalMotor$_n7bf_n7da$false _n7bf RTVerticalMotor$_n7bf$raw_n7dc - - 0 =RTVerticalMotor$_n7bf_n7da$false - - 1 =RTVerticalMotor$_n7c2$raw_n7cc .mv RTRotaryMotor$_n7bf$raw_n7de 3 CWise Stop CCWise .names RTRotaryMotor$_n7c2$raw_n7ce RTRotaryMotor$_n7bf_n7d9$false _n7bf RTRotaryMotor$_n7bf$raw_n7de - - 0 =RTRotaryMotor$_n7bf_n7d9$false - - 1 =RTRotaryMotor$_n7c2$raw_n7ce .mv TableLoaded$_n7bf$raw_n7e3 2 Y N .names TableLoaded$_n7c2$raw_n7d3 TableLoaded _n7bf TableLoaded$_n7bf$raw_n7e3 - - 0 =TableLoaded - - 1 =TableLoaded$_n7c2$raw_n7d3 .mv PieceGrabbedFromFB$_n7bf$raw_n7e5 2 Y N .names PieceGrabbedFromFB$_n7c2$raw_n7d5 PieceGrabbedFromFB _n7bf PieceGrabbedFromFB$_n7bf$raw_n7e5 - - 0 =PieceGrabbedFromFB - - 1 =PieceGrabbedFromFB$_n7c2$raw_n7d5 # if/else (RTOnFB == 0) .mv RTVerticalMotor$_n7bc$raw_n7ea 3 GoUp GoDown Stop .names RTVerticalMotor$_n7bf$raw_n7dc RTVerticalMotor$_n779$raw_n7b4 _n7bc RTVerticalMotor$_n7bc$raw_n7ea - - 0 =RTVerticalMotor$_n779$raw_n7b4 - - 1 =RTVerticalMotor$_n7bf$raw_n7dc .mv RTRotaryMotor$_n7bc$raw_n7ec 3 CWise Stop CCWise .names RTRotaryMotor$_n7bf$raw_n7de RTRotaryMotor$_n779$raw_n7b5 _n7bc RTRotaryMotor$_n7bc$raw_n7ec - - 0 =RTRotaryMotor$_n779$raw_n7b5 - - 1 =RTRotaryMotor$_n7bf$raw_n7de .mv TableLoaded$_n7bc$raw_n7f1 2 Y N .names TableLoaded$_n7bf$raw_n7e3 TableLoaded _n7bc TableLoaded$_n7bc$raw_n7f1 - - 0 =TableLoaded - - 1 =TableLoaded$_n7bf$raw_n7e3 .mv PieceGrabbedFromFB$_n7bc$raw_n7f3 2 Y N .names PieceGrabbedFromFB$_n7bf$raw_n7e5 PieceGrabbedFromFB _n7bc PieceGrabbedFromFB$_n7bc$raw_n7f3 - - 0 =PieceGrabbedFromFB - - 1 =PieceGrabbedFromFB$_n7bf$raw_n7e5 .mv _n7f8 2 Y N .names _n7f8 N # RTOnFB == 1 .names RTOnFB _n7f8 _n7f7 .def 0 - =RTOnFB 1 .mv _n7fa 2 Y N .names _n7fa N # RTOnArm == 1 .names RTOnArm _n7fa _n7f9 .def 0 - =RTOnArm 1 # RTOnFB == 1 && RTOnArm == 1 .names _n7f7 _n7f9 _n7fb .def 0 1 1 1 .names _n7fb _n7fc - =_n7fb .mv _n7fe 3 CWise Stop CCWise .names _n7fe CWise # RTRotaryMotor == 0 .names RTRotaryMotor$_n7bc$raw_n7ec _n7fe _n7fd .def 0 - =RTRotaryMotor$_n7bc$raw_n7ec 1 .mv _n800 3 GoUp GoDown Stop .names _n800 GoDown # RTVerticalMotor == 1 .names RTVerticalMotor$_n7bc$raw_n7ea _n800 _n7ff .def 0 - =RTVerticalMotor$_n7bc$raw_n7ea 1 # RTRotaryMotor == 0 && RTVerticalMotor == 1 .names _n7fd _n7ff _n801 .def 0 1 1 1 .names _n801 _n802 - =_n801 .mv _n804 2 Y N .names _n804 Y # PieceOutFB == 0 .names PieceOutFB _n804 _n803 .def 0 - =PieceOutFB 1 .names _n803 _n805 - =_n803 # TableLoaded = 0 .mv TableLoaded$_n803_n806$true 2 Y N .names TableLoaded$_n803_n806$true Y # PieceGrabbedFromFB = 0 .mv PieceGrabbedFromFB$_n803_n807$true 2 Y N .names PieceGrabbedFromFB$_n803_n807$true Y # RTRotaryMotor = CCWiseChoice .mv RTRotaryMotor$_n803_n808$true 3 CWise Stop CCWise .names CCWiseChoice RTRotaryMotor$_n803_n808$true - =CCWiseChoice # RTVerticalMotor = UpChoice .mv RTVerticalMotor$_n803_n809$true 3 GoUp GoDown Stop .names UpChoice RTVerticalMotor$_n803_n809$true - =UpChoice # RTRotaryMotor = 1 .mv RTRotaryMotor$_n803_n80a$false 3 CWise Stop CCWise .names RTRotaryMotor$_n803_n80a$false Stop # RTVerticalMotor = 2 .mv RTVerticalMotor$_n803_n80b$false 3 GoUp GoDown Stop .names RTVerticalMotor$_n803_n80b$false Stop # if/else (PieceOutFB == 0) .mv TableLoaded$_n803$raw_n80c 2 Y N .names TableLoaded$_n803_n806$true TableLoaded$_n7bc$raw_n7f1 _n803 TableLoaded$_n803$raw_n80c - - 0 =TableLoaded$_n7bc$raw_n7f1 - - 1 =TableLoaded$_n803_n806$true .mv RTVerticalMotor$_n803$raw_n80d 3 GoUp GoDown Stop .names RTVerticalMotor$_n803_n809$true RTVerticalMotor$_n803_n80b$false _n803 RTVerticalMotor$_n803$raw_n80d - - 0 =RTVerticalMotor$_n803_n80b$false - - 1 =RTVerticalMotor$_n803_n809$true .mv RTRotaryMotor$_n803$raw_n80e 3 CWise Stop CCWise .names RTRotaryMotor$_n803_n808$true RTRotaryMotor$_n803_n80a$false _n803 RTRotaryMotor$_n803$raw_n80e - - 0 =RTRotaryMotor$_n803_n80a$false - - 1 =RTRotaryMotor$_n803_n808$true .mv PieceGrabbedFromFB$_n803$raw_n80f 2 Y N .names PieceGrabbedFromFB$_n803_n807$true PieceGrabbedFromFB$_n7bc$raw_n7f3 _n803 PieceGrabbedFromFB$_n803$raw_n80f - - 0 =PieceGrabbedFromFB$_n7bc$raw_n7f3 - - 1 =PieceGrabbedFromFB$_n803_n807$true .mv _n81d 3 CWise Stop CCWise .names _n81d CWise # RTRotaryMotor != 0 .names RTRotaryMotor$_n7bc$raw_n7ec _n81d _n81c .def 1 - =RTRotaryMotor$_n7bc$raw_n7ec 0 .mv _n81f 3 GoUp GoDown Stop .names _n81f GoDown # RTVerticalMotor == 1 .names RTVerticalMotor$_n7bc$raw_n7ea _n81f _n81e .def 0 - =RTVerticalMotor$_n7bc$raw_n7ea 1 # RTRotaryMotor != 0 && RTVerticalMotor == 1 .names _n81c _n81e _n820 .def 0 1 1 1 .names _n820 _n821 - =_n820 # RTRotaryMotor = CWiseChoice .mv RTRotaryMotor$_n820_n822$true 3 CWise Stop CCWise .names CWiseChoice RTRotaryMotor$_n820_n822$true - =CWiseChoice # RTVerticalMotor = 2 .mv RTVerticalMotor$_n820_n823$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n820_n823$true Stop .mv _n825 3 CWise Stop CCWise .names _n825 CWise # RTRotaryMotor == 0 .names RTRotaryMotor$_n7bc$raw_n7ec _n825 _n824 .def 0 - =RTRotaryMotor$_n7bc$raw_n7ec 1 .mv _n827 3 GoUp GoDown Stop .names _n827 GoDown # RTVerticalMotor != 1 .names RTVerticalMotor$_n7bc$raw_n7ea _n827 _n826 .def 1 - =RTVerticalMotor$_n7bc$raw_n7ea 0 # RTRotaryMotor == 0 && RTVerticalMotor != 1 .names _n824 _n826 _n828 .def 0 1 1 1 .names _n828 _n829 - =_n828 # RTRotaryMotor = 1 .mv RTRotaryMotor$_n828_n82a$true 3 CWise Stop CCWise .names RTRotaryMotor$_n828_n82a$true Stop # RTVerticalMotor = DownChoice .mv RTVerticalMotor$_n828_n82b$true 3 GoUp GoDown Stop .names DownChoice RTVerticalMotor$_n828_n82b$true - =DownChoice .mv _n82d 3 CWise Stop CCWise .names _n82d CWise # RTRotaryMotor != 0 .names RTRotaryMotor$_n7bc$raw_n7ec _n82d _n82c .def 1 - =RTRotaryMotor$_n7bc$raw_n7ec 0 .mv _n82f 3 GoUp GoDown Stop .names _n82f GoDown # RTVerticalMotor != 1 .names RTVerticalMotor$_n7bc$raw_n7ea _n82f _n82e .def 1 - =RTVerticalMotor$_n7bc$raw_n7ea 0 # RTRotaryMotor != 0 && RTVerticalMotor != 1 .names _n82c _n82e _n830 .def 0 1 1 1 .names _n830 _n831 - =_n830 # RTRotaryMotor = CWiseChoice .mv RTRotaryMotor$_n830_n832$true 3 CWise Stop CCWise .names CWiseChoice RTRotaryMotor$_n830_n832$true - =CWiseChoice # RTVerticalMotor = DownChoice .mv RTVerticalMotor$_n830_n833$true 3 GoUp GoDown Stop .names DownChoice RTVerticalMotor$_n830_n833$true - =DownChoice # if/else (RTRotaryMotor != 0 && RTVerticalMotor != 1) .mv RTVerticalMotor$_n830$raw_n835 3 GoUp GoDown Stop .names RTVerticalMotor$_n830_n833$true RTVerticalMotor$_n7bc$raw_n7ea _n830 RTVerticalMotor$_n830$raw_n835 - - 0 =RTVerticalMotor$_n7bc$raw_n7ea - - 1 =RTVerticalMotor$_n830_n833$true .mv RTRotaryMotor$_n830$raw_n836 3 CWise Stop CCWise .names RTRotaryMotor$_n830_n832$true RTRotaryMotor$_n7bc$raw_n7ec _n830 RTRotaryMotor$_n830$raw_n836 - - 0 =RTRotaryMotor$_n7bc$raw_n7ec - - 1 =RTRotaryMotor$_n830_n832$true # if/else (RTRotaryMotor == 0 && RTVerticalMotor != 1) .mv RTVerticalMotor$_n828$raw_n845 3 GoUp GoDown Stop .names RTVerticalMotor$_n828_n82b$true RTVerticalMotor$_n830$raw_n835 _n828 RTVerticalMotor$_n828$raw_n845 - - 0 =RTVerticalMotor$_n830$raw_n835 - - 1 =RTVerticalMotor$_n828_n82b$true .mv RTRotaryMotor$_n828$raw_n847 3 CWise Stop CCWise .names RTRotaryMotor$_n828_n82a$true RTRotaryMotor$_n830$raw_n836 _n828 RTRotaryMotor$_n828$raw_n847 - - 0 =RTRotaryMotor$_n830$raw_n836 - - 1 =RTRotaryMotor$_n828_n82a$true # if/else (RTRotaryMotor != 0 && RTVerticalMotor == 1) .mv RTVerticalMotor$_n820$raw_n855 3 GoUp GoDown Stop .names RTVerticalMotor$_n820_n823$true RTVerticalMotor$_n828$raw_n845 _n820 RTVerticalMotor$_n820$raw_n855 - - 0 =RTVerticalMotor$_n828$raw_n845 - - 1 =RTVerticalMotor$_n820_n823$true .mv RTRotaryMotor$_n820$raw_n856 3 CWise Stop CCWise .names RTRotaryMotor$_n820_n822$true RTRotaryMotor$_n828$raw_n847 _n820 RTRotaryMotor$_n820$raw_n856 - - 0 =RTRotaryMotor$_n828$raw_n847 - - 1 =RTRotaryMotor$_n820_n822$true # if/else (RTRotaryMotor == 0 && RTVerticalMotor == 1) .mv TableLoaded$_n801$raw_n864 2 Y N .names TableLoaded$_n803$raw_n80c TableLoaded$_n7bc$raw_n7f1 _n801 TableLoaded$_n801$raw_n864 - - 0 =TableLoaded$_n7bc$raw_n7f1 - - 1 =TableLoaded$_n803$raw_n80c .mv RTVerticalMotor$_n801$raw_n865 3 GoUp GoDown Stop .names RTVerticalMotor$_n803$raw_n80d RTVerticalMotor$_n820$raw_n855 _n801 RTVerticalMotor$_n801$raw_n865 - - 0 =RTVerticalMotor$_n820$raw_n855 - - 1 =RTVerticalMotor$_n803$raw_n80d .mv PieceGrabbedFromFB$_n801$raw_n866 2 Y N .names PieceGrabbedFromFB$_n803$raw_n80f PieceGrabbedFromFB$_n7bc$raw_n7f3 _n801 PieceGrabbedFromFB$_n801$raw_n866 - - 0 =PieceGrabbedFromFB$_n7bc$raw_n7f3 - - 1 =PieceGrabbedFromFB$_n803$raw_n80f .mv RTRotaryMotor$_n801$raw_n867 3 CWise Stop CCWise .names RTRotaryMotor$_n803$raw_n80e RTRotaryMotor$_n820$raw_n856 _n801 RTRotaryMotor$_n801$raw_n867 - - 0 =RTRotaryMotor$_n820$raw_n856 - - 1 =RTRotaryMotor$_n803$raw_n80e # if/else (RTOnFB == 1 && RTOnArm == 1) .mv TableLoaded$_n7fb$raw_n874 2 Y N .names TableLoaded$_n801$raw_n864 TableLoaded$_n7bc$raw_n7f1 _n7fb TableLoaded$_n7fb$raw_n874 - - 0 =TableLoaded$_n7bc$raw_n7f1 - - 1 =TableLoaded$_n801$raw_n864 .mv RTVerticalMotor$_n7fb$raw_n875 3 GoUp GoDown Stop .names RTVerticalMotor$_n801$raw_n865 RTVerticalMotor$_n7bc$raw_n7ea _n7fb RTVerticalMotor$_n7fb$raw_n875 - - 0 =RTVerticalMotor$_n7bc$raw_n7ea - - 1 =RTVerticalMotor$_n801$raw_n865 .mv RTRotaryMotor$_n7fb$raw_n876 3 CWise Stop CCWise .names RTRotaryMotor$_n801$raw_n867 RTRotaryMotor$_n7bc$raw_n7ec _n7fb RTRotaryMotor$_n7fb$raw_n876 - - 0 =RTRotaryMotor$_n7bc$raw_n7ec - - 1 =RTRotaryMotor$_n801$raw_n867 .mv PieceGrabbedFromFB$_n7fb$raw_n877 2 Y N .names PieceGrabbedFromFB$_n801$raw_n866 PieceGrabbedFromFB$_n7bc$raw_n7f3 _n7fb PieceGrabbedFromFB$_n7fb$raw_n877 - - 0 =PieceGrabbedFromFB$_n7bc$raw_n7f3 - - 1 =PieceGrabbedFromFB$_n801$raw_n866 .mv _n885 2 Y N .names _n885 Y # RTOnArm == 0 .names RTOnArm _n885 _n884 .def 0 - =RTOnArm 1 .names _n884 _n886 - =_n884 # RTRotaryMotor = CWiseChoice .mv RTRotaryMotor$_n884_n887$true 3 CWise Stop CCWise .names CWiseChoice RTRotaryMotor$_n884_n887$true - =CWiseChoice .mv _n889 3 GoUp GoDown Stop .names _n889 GoDown # RTVerticalMotor == 1 .names RTVerticalMotor$_n7fb$raw_n875 _n889 _n888 .def 0 - =RTVerticalMotor$_n7fb$raw_n875 1 .names _n888 _n88a - =_n888 # RTVerticalMotor = 2 .mv RTVerticalMotor$_n888_n88b$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n888_n88b$true Stop # RTVerticalMotor = 1 .mv RTVerticalMotor$_n888_n88c$false 3 GoUp GoDown Stop .names RTVerticalMotor$_n888_n88c$false GoDown # if/else (RTVerticalMotor == 1) .mv RTVerticalMotor$_n888$raw_n88e 3 GoUp GoDown Stop .names RTVerticalMotor$_n888_n88b$true RTVerticalMotor$_n888_n88c$false _n888 RTVerticalMotor$_n888$raw_n88e - - 0 =RTVerticalMotor$_n888_n88c$false - - 1 =RTVerticalMotor$_n888_n88b$true # if/else (RTOnArm == 0) .mv RTVerticalMotor$_n884$raw_n89e 3 GoUp GoDown Stop .names RTVerticalMotor$_n888$raw_n88e RTVerticalMotor$_n7fb$raw_n875 _n884 RTVerticalMotor$_n884$raw_n89e - - 0 =RTVerticalMotor$_n7fb$raw_n875 - - 1 =RTVerticalMotor$_n888$raw_n88e .mv RTRotaryMotor$_n884$raw_n89f 3 CWise Stop CCWise .names RTRotaryMotor$_n884_n887$true RTRotaryMotor$_n7fb$raw_n876 _n884 RTRotaryMotor$_n884$raw_n89f - - 0 =RTRotaryMotor$_n7fb$raw_n876 - - 1 =RTRotaryMotor$_n884_n887$true # if/else (RTOnTop == 1 && RTOnBottom == 1) .mv RTVerticalMotor$_n7ba$raw_n8ae 3 GoUp GoDown Stop .names RTVerticalMotor$_n884$raw_n89e RTVerticalMotor$_n779$raw_n7b4 _n7ba RTVerticalMotor$_n7ba$raw_n8ae - - 0 =RTVerticalMotor$_n779$raw_n7b4 - - 1 =RTVerticalMotor$_n884$raw_n89e .mv RTRotaryMotor$_n7ba$raw_n8b0 3 CWise Stop CCWise .names RTRotaryMotor$_n884$raw_n89f RTRotaryMotor$_n779$raw_n7b5 _n7ba RTRotaryMotor$_n7ba$raw_n8b0 - - 0 =RTRotaryMotor$_n779$raw_n7b5 - - 1 =RTRotaryMotor$_n884$raw_n89f .mv TableLoaded$_n7ba$raw_n8b5 2 Y N .names TableLoaded$_n7fb$raw_n874 TableLoaded _n7ba TableLoaded$_n7ba$raw_n8b5 - - 0 =TableLoaded - - 1 =TableLoaded$_n7fb$raw_n874 .mv PieceGrabbedFromFB$_n7ba$raw_n8b7 2 Y N .names PieceGrabbedFromFB$_n7fb$raw_n877 PieceGrabbedFromFB _n7ba PieceGrabbedFromFB$_n7ba$raw_n8b7 - - 0 =PieceGrabbedFromFB - - 1 =PieceGrabbedFromFB$_n7fb$raw_n877 .mv _n8bc 2 Y N .names _n8bc Y # RTOnBottom == 0 .names RTOnBottom _n8bc _n8bb .def 0 - =RTOnBottom 1 .names _n8bb _n8bd - =_n8bb .mv _n8bf 2 Y N .names _n8bf Y # RTOnFB == 0 .names RTOnFB _n8bf _n8be .def 0 - =RTOnFB 1 .names _n8be _n8c0 - =_n8be .mv _n8c2 2 Y N .names _n8c2 Y # PieceOutFB == 0 .names PieceOutFB _n8c2 _n8c1 .def 0 - =PieceOutFB 1 .names _n8c1 _n8c3 - =_n8c1 # PieceGrabbedFromFB = 0 .mv PieceGrabbedFromFB$_n8c1_n8c4$true 2 Y N .names PieceGrabbedFromFB$_n8c1_n8c4$true Y # RTRotaryMotor = CCWiseChoice .mv RTRotaryMotor$_n8c1_n8c5$true 3 CWise Stop CCWise .names CCWiseChoice RTRotaryMotor$_n8c1_n8c5$true - =CCWiseChoice # RTVerticalMotor = UpChoice .mv RTVerticalMotor$_n8c1_n8c6$true 3 GoUp GoDown Stop .names UpChoice RTVerticalMotor$_n8c1_n8c6$true - =UpChoice # TableLoaded = 0 .mv TableLoaded$_n8c1_n8c7$true 2 Y N .names TableLoaded$_n8c1_n8c7$true Y # RTRotaryMotor = 1 .mv RTRotaryMotor$_n8c1_n8c8$false 3 CWise Stop CCWise .names RTRotaryMotor$_n8c1_n8c8$false Stop # RTVerticalMotor = 2 .mv RTVerticalMotor$_n8c1_n8c9$false 3 GoUp GoDown Stop .names RTVerticalMotor$_n8c1_n8c9$false Stop # if/else (PieceOutFB == 0) .mv TableLoaded$_n8c1$raw_n8ca 2 Y N .names TableLoaded$_n8c1_n8c7$true TableLoaded$_n7ba$raw_n8b5 _n8c1 TableLoaded$_n8c1$raw_n8ca - - 0 =TableLoaded$_n7ba$raw_n8b5 - - 1 =TableLoaded$_n8c1_n8c7$true .mv RTVerticalMotor$_n8c1$raw_n8cb 3 GoUp GoDown Stop .names RTVerticalMotor$_n8c1_n8c6$true RTVerticalMotor$_n8c1_n8c9$false _n8c1 RTVerticalMotor$_n8c1$raw_n8cb - - 0 =RTVerticalMotor$_n8c1_n8c9$false - - 1 =RTVerticalMotor$_n8c1_n8c6$true .mv RTRotaryMotor$_n8c1$raw_n8cc 3 CWise Stop CCWise .names RTRotaryMotor$_n8c1_n8c5$true RTRotaryMotor$_n8c1_n8c8$false _n8c1 RTRotaryMotor$_n8c1$raw_n8cc - - 0 =RTRotaryMotor$_n8c1_n8c8$false - - 1 =RTRotaryMotor$_n8c1_n8c5$true .mv PieceGrabbedFromFB$_n8c1$raw_n8cd 2 Y N .names PieceGrabbedFromFB$_n8c1_n8c4$true PieceGrabbedFromFB$_n7ba$raw_n8b7 _n8c1 PieceGrabbedFromFB$_n8c1$raw_n8cd - - 0 =PieceGrabbedFromFB$_n7ba$raw_n8b7 - - 1 =PieceGrabbedFromFB$_n8c1_n8c4$true # if/else (RTOnFB == 0) .mv TableLoaded$_n8be$raw_n8da 2 Y N .names TableLoaded$_n8c1$raw_n8ca TableLoaded$_n7ba$raw_n8b5 _n8be TableLoaded$_n8be$raw_n8da - - 0 =TableLoaded$_n7ba$raw_n8b5 - - 1 =TableLoaded$_n8c1$raw_n8ca .mv RTVerticalMotor$_n8be$raw_n8db 3 GoUp GoDown Stop .names RTVerticalMotor$_n8c1$raw_n8cb RTVerticalMotor$_n7ba$raw_n8ae _n8be RTVerticalMotor$_n8be$raw_n8db - - 0 =RTVerticalMotor$_n7ba$raw_n8ae - - 1 =RTVerticalMotor$_n8c1$raw_n8cb .mv PieceGrabbedFromFB$_n8be$raw_n8dc 2 Y N .names PieceGrabbedFromFB$_n8c1$raw_n8cd PieceGrabbedFromFB$_n7ba$raw_n8b7 _n8be PieceGrabbedFromFB$_n8be$raw_n8dc - - 0 =PieceGrabbedFromFB$_n7ba$raw_n8b7 - - 1 =PieceGrabbedFromFB$_n8c1$raw_n8cd .mv RTRotaryMotor$_n8be$raw_n8dd 3 CWise Stop CCWise .names RTRotaryMotor$_n8c1$raw_n8cc RTRotaryMotor$_n7ba$raw_n8b0 _n8be RTRotaryMotor$_n8be$raw_n8dd - - 0 =RTRotaryMotor$_n7ba$raw_n8b0 - - 1 =RTRotaryMotor$_n8c1$raw_n8cc .mv _n8eb 2 Y N .names _n8eb N # RTOnFB == 1 .names RTOnFB _n8eb _n8ea .def 0 - =RTOnFB 1 .mv _n8ed 2 Y N .names _n8ed N # RTOnArm == 1 .names RTOnArm _n8ed _n8ec .def 0 - =RTOnArm 1 # RTOnFB == 1 && RTOnArm == 1 .names _n8ea _n8ec _n8ee .def 0 1 1 1 .names _n8ee _n8ef - =_n8ee # RTVerticalMotor = 2 .mv RTVerticalMotor$_n8ee_n8f0$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n8ee_n8f0$true Stop .mv _n8f2 3 CWise Stop CCWise .names _n8f2 CWise # RTRotaryMotor == 0 .names RTRotaryMotor$_n8be$raw_n8dd _n8f2 _n8f1 .def 0 - =RTRotaryMotor$_n8be$raw_n8dd 1 .names _n8f1 _n8f3 - =_n8f1 # RTRotaryMotor = 1 .mv RTRotaryMotor$_n8f1_n8f4$true 3 CWise Stop CCWise .names RTRotaryMotor$_n8f1_n8f4$true Stop # RTRotaryMotor = 0 .mv RTRotaryMotor$_n8f1_n8f5$false 3 CWise Stop CCWise .names RTRotaryMotor$_n8f1_n8f5$false CWise # if/else (RTRotaryMotor == 0) .mv RTRotaryMotor$_n8f1$raw_n8f8 3 CWise Stop CCWise .names RTRotaryMotor$_n8f1_n8f4$true RTRotaryMotor$_n8f1_n8f5$false _n8f1 RTRotaryMotor$_n8f1$raw_n8f8 - - 0 =RTRotaryMotor$_n8f1_n8f5$false - - 1 =RTRotaryMotor$_n8f1_n8f4$true # if/else (RTOnFB == 1 && RTOnArm == 1) .mv RTVerticalMotor$_n8ee$raw_n907 3 GoUp GoDown Stop .names RTVerticalMotor$_n8ee_n8f0$true RTVerticalMotor$_n8be$raw_n8db _n8ee RTVerticalMotor$_n8ee$raw_n907 - - 0 =RTVerticalMotor$_n8be$raw_n8db - - 1 =RTVerticalMotor$_n8ee_n8f0$true .mv RTRotaryMotor$_n8ee$raw_n909 3 CWise Stop CCWise .names RTRotaryMotor$_n8f1$raw_n8f8 RTRotaryMotor$_n8be$raw_n8dd _n8ee RTRotaryMotor$_n8ee$raw_n909 - - 0 =RTRotaryMotor$_n8be$raw_n8dd - - 1 =RTRotaryMotor$_n8f1$raw_n8f8 .mv _n917 2 Y N .names _n917 Y # RTOnArm == 0 .names RTOnArm _n917 _n916 .def 0 - =RTOnArm 1 .names _n916 _n918 - =_n916 # RTRotaryMotor = 0 .mv RTRotaryMotor$_n916_n919$true 3 CWise Stop CCWise .names RTRotaryMotor$_n916_n919$true CWise # RTVerticalMotor = 2 .mv RTVerticalMotor$_n916_n91a$true 3 GoUp GoDown Stop .names RTVerticalMotor$_n916_n91a$true Stop # if/else (RTOnArm == 0) .mv RTVerticalMotor$_n916$raw_n91c 3 GoUp GoDown Stop .names RTVerticalMotor$_n916_n91a$true RTVerticalMotor$_n8ee$raw_n907 _n916 RTVerticalMotor$_n916$raw_n91c - - 0 =RTVerticalMotor$_n8ee$raw_n907 - - 1 =RTVerticalMotor$_n916_n91a$true .mv RTRotaryMotor$_n916$raw_n91e 3 CWise Stop CCWise .names RTRotaryMotor$_n916_n919$true RTRotaryMotor$_n8ee$raw_n909 _n916 RTRotaryMotor$_n916$raw_n91e - - 0 =RTRotaryMotor$_n8ee$raw_n909 - - 1 =RTRotaryMotor$_n916_n919$true # if/else (RTOnBottom == 0) .mv TableLoaded$_n8bb$raw_n92b 2 Y N .names TableLoaded$_n8be$raw_n8da TableLoaded$_n7ba$raw_n8b5 _n8bb TableLoaded$_n8bb$raw_n92b - - 0 =TableLoaded$_n7ba$raw_n8b5 - - 1 =TableLoaded$_n8be$raw_n8da .mv RTVerticalMotor$_n8bb$raw_n92c 3 GoUp GoDown Stop .names RTVerticalMotor$_n916$raw_n91c RTVerticalMotor$_n7ba$raw_n8ae _n8bb RTVerticalMotor$_n8bb$raw_n92c - - 0 =RTVerticalMotor$_n7ba$raw_n8ae - - 1 =RTVerticalMotor$_n916$raw_n91c .mv RTRotaryMotor$_n8bb$raw_n92d 3 CWise Stop CCWise .names RTRotaryMotor$_n916$raw_n91e RTRotaryMotor$_n7ba$raw_n8b0 _n8bb RTRotaryMotor$_n8bb$raw_n92d - - 0 =RTRotaryMotor$_n7ba$raw_n8b0 - - 1 =RTRotaryMotor$_n916$raw_n91e .mv PieceGrabbedFromFB$_n8bb$raw_n92e 2 Y N .names PieceGrabbedFromFB$_n8be$raw_n8dc PieceGrabbedFromFB$_n7ba$raw_n8b7 _n8bb PieceGrabbedFromFB$_n8bb$raw_n92e - - 0 =PieceGrabbedFromFB$_n7ba$raw_n8b7 - - 1 =PieceGrabbedFromFB$_n8be$raw_n8dc # case (TableLoaded ) .mv TableLoaded$_n776$raw_n943 2 Y N .names TableLoaded$_n8bb$raw_n92b TableLoaded _n776 TableLoaded$_n776$raw_n943 - - 0 =TableLoaded - - 1 =TableLoaded$_n8bb$raw_n92b .mv RTVerticalMotor$_n776$raw_n944 3 GoUp GoDown Stop .names RTVerticalMotor$_n8bb$raw_n92c RTVerticalMotor _n776 RTVerticalMotor$_n776$raw_n944 - - 0 =RTVerticalMotor - - 1 =RTVerticalMotor$_n8bb$raw_n92c .mv PieceGrabbedFromFB$_n776$raw_n945 2 Y N .names PieceGrabbedFromFB$_n8bb$raw_n92e PieceGrabbedFromFB _n776 PieceGrabbedFromFB$_n776$raw_n945 - - 0 =PieceGrabbedFromFB - - 1 =PieceGrabbedFromFB$_n8bb$raw_n92e .mv RTRotaryMotor$_n776$raw_n946 3 CWise Stop CCWise .names RTRotaryMotor$_n8bb$raw_n92d RTRotaryMotor _n776 RTRotaryMotor$_n776$raw_n946 - - 0 =RTRotaryMotor - - 1 =RTRotaryMotor$_n8bb$raw_n92d .mv TableLoaded$_n5b7$raw_n947 2 Y N .names TableLoaded$_n5ba$raw_n629 TableLoaded$_n776$raw_n943 _n5b7 TableLoaded$_n5b7$raw_n947 - - 0 =TableLoaded$_n776$raw_n943 - - 1 =TableLoaded$_n5ba$raw_n629 .mv RTVerticalMotor$_n5b7$raw_n949 3 GoUp GoDown Stop .names RTVerticalMotor$_n70d$raw_n768 RTVerticalMotor$_n776$raw_n944 _n5b7 RTVerticalMotor$_n5b7$raw_n949 - - 0 =RTVerticalMotor$_n776$raw_n944 - - 1 =RTVerticalMotor$_n70d$raw_n768 .mv RTRotaryMotor$_n5b7$raw_n94a 3 CWise Stop CCWise .names RTRotaryMotor$_n70d$raw_n769 RTRotaryMotor$_n776$raw_n946 _n5b7 RTRotaryMotor$_n5b7$raw_n94a - - 0 =RTRotaryMotor$_n776$raw_n946 - - 1 =RTRotaryMotor$_n70d$raw_n769 .mv RTOutReady$_n5b7$raw_n950 2 Y N .names RTOutReady$_n631$raw_n6fe RTOutReady _n5b7 RTOutReady$_n5b7$raw_n950 - - 0 =RTOutReady - - 1 =RTOutReady$_n631$raw_n6fe .mv PieceGrabbedFromFB$_n5b7$raw_n955 2 Y N .names PieceGrabbedFromFB PieceGrabbedFromFB$_n776$raw_n945 _n5b7 PieceGrabbedFromFB$_n5b7$raw_n955 - - 0 =PieceGrabbedFromFB$_n776$raw_n945 - - 1 =PieceGrabbedFromFB .mv _n958 2 Y N .names _n958 N # PieceOutFB == 1 .names PieceOutFB _n958 _n957 .def 0 - =PieceOutFB 1 .mv _n95a 2 Y N .names _n95a Y # PieceGrabbedFromFB == 0 .names PieceGrabbedFromFB$_n5b7$raw_n955 _n95a _n959 .def 0 - =PieceGrabbedFromFB$_n5b7$raw_n955 1 # PieceOutFB == 1 && PieceGrabbedFromFB == 0 .names _n957 _n959 _n95b .def 0 1 1 1 .names _n95b _n95c - =_n95b # PieceGrabbedFromFB = 1 .mv PieceGrabbedFromFB$_n95b_n95d$true 2 Y N .names PieceGrabbedFromFB$_n95b_n95d$true N # if/else (PieceOutFB == 1 && PieceGrabbedFromFB == 0) .mv PieceGrabbedFromFB$_n95b$raw_n961 2 Y N .names PieceGrabbedFromFB$_n95b_n95d$true PieceGrabbedFromFB$_n5b7$raw_n955 _n95b PieceGrabbedFromFB$_n95b$raw_n961 - - 0 =PieceGrabbedFromFB$_n5b7$raw_n955 - - 1 =PieceGrabbedFromFB$_n95b_n95d$true .mv _n973 2 Y N .names _n973 Y # RTOutReady == 0 .names RTOutReady$_n5b7$raw_n950 _n973 _n972 .def 0 - =RTOutReady$_n5b7$raw_n950 1 .mv _n975 2 Y N .names _n975 Y # PieceGrabbedFromRT == 0 .names PieceGrabbedFromRT _n975 _n974 .def 0 - =PieceGrabbedFromRT 1 # RTOutReady == 0 && PieceGrabbedFromRT == 0 .names _n972 _n974 _n976 .def 0 1 1 1 .names _n976 _n977 - =_n976 # RTOutReady = 1 .mv RTOutReady$_n976_n978$true 2 Y N .names RTOutReady$_n976_n978$true N # if/else (RTOutReady == 0 && PieceGrabbedFromRT == 0) .mv RTOutReady$_n976$raw_n97a 2 Y N .names RTOutReady$_n976_n978$true RTOutReady$_n5b7$raw_n950 _n976 RTOutReady$_n976$raw_n97a - - 0 =RTOutReady$_n5b7$raw_n950 - - 1 =RTOutReady$_n976_n978$true # conflict arbitrators .names _n5b7 _n5bc _n5f8 _n5fb _n776 _n7bb _n7be _n7c1 _n7c4 _n7fc _n802 _n805 _n8bd _n8c0 _n8c3 _n98d .def 0 1 1 1 1 - - - - - - - - - - - 1 0 - - - 1 1 1 1 1 - - - - - - 1 0 - - - 1 1 - - - 1 1 1 - - - 1 0 - - - 1 - - - - - - - 1 1 1 1 .mv _n98e 2 Y N .names _n98d TableLoaded$_n5b7$raw_n947 TableLoaded _n98e 1 - - =TableLoaded$_n5b7$raw_n947 0 - - =TableLoaded .names UpChoice$raw_n5b0 UpChoice - =UpChoice$raw_n5b0 .names CCWiseChoice$raw_n5af CCWiseChoice - =CCWiseChoice$raw_n5af .names DownChoice$raw_n5b1 DownChoice - =DownChoice$raw_n5b1 .names _n5b7 _n5bc _n5cd _n5d1 _n5f8 _n5fb _n632 _n661 _n667 _n6d5 _n6d9 _n977 _n99d .def 0 1 1 1 1 - - - - - - - - 1 1 1 - - 1 1 - - - - - - 1 1 1 - - 1 0 - - - - - - 1 1 - - - - - 1 1 1 - - - 1 1 - - - - - 1 - - 1 1 - 1 - - - - - - - - - - - 1 1 .mv _n99e 2 Y N .names _n99d RTOutReady$_n976$raw_n97a RTOutReady _n99e 1 - - =RTOutReady$_n976$raw_n97a 0 - - =RTOutReady .names CWiseChoice$raw_n5ae CWiseChoice - =CWiseChoice$raw_n5ae .names _n5b7 _n5bc _n5bf _n5cd _n5f8 _n5fb _n632 _n635 _n639 _n661 _n667 _n670 _n678 _n680 _n6d5 _n6d9 _n70f _n712 _n72a _n753 _n776 _n77b _n77e _n78c _n7a5 _n7bb _n7be _n7c1 _n7c4 _n7fc _n802 _n805 _n821 _n829 _n831 _n886 _n88a _n8bd _n8c0 _n8c3 _n8ef _n918 _n9ad .def 0 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 - 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 - - 1 0 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 1 0 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 - - 1 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 - - 1 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 - - 1 0 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - 1 - 1 - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - 1 - - 1 - - - - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 1 - 1 - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 1 - - 1 - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 1 1 1 - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 1 1 0 - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 1 0 - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 1 1 - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 1 0 - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 0 - 1 - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 0 - 0 1 - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - 1 0 - 0 0 1 - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - - - - - - - 1 1 - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - 1 - - - - - - - - - 1 0 - - - - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 1 1 - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 1 0 - - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - 1 - 1 0 - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - - 1 1 .mv _n9ae 3 GoUp GoDown Stop .names _n9ad RTVerticalMotor$_n5b7$raw_n949 RTVerticalMotor _n9ae 1 - - =RTVerticalMotor$_n5b7$raw_n949 0 - - =RTVerticalMotor .names _n5b7 _n776 _n7bb _n7be _n7c1 _n7c4 _n7fc _n802 _n805 _n8bd _n8c0 _n8c3 _n95c _n9bd .def 0 0 1 1 1 1 1 - - - - - - - 1 0 1 1 - - - 1 1 1 - - - - 1 0 1 - - - - - - - 1 1 1 - 1 - - - - - - - - - - - - 1 1 .mv _n9be 2 Y N .names _n9bd PieceGrabbedFromFB$_n95b$raw_n961 PieceGrabbedFromFB _n9be 1 - - =PieceGrabbedFromFB$_n95b$raw_n961 0 - - =PieceGrabbedFromFB .names _n5b7 _n5bc _n5bf _n5cd _n5d1 _n5d6 _n5f8 _n5fb _n632 _n635 _n661 _n667 _n670 _n678 _n680 _n6d5 _n70f _n712 _n72a _n72e _n753 _n776 _n77b _n77e _n78c _n790 _n7a5 _n7bb _n7be _n7c1 _n7c4 _n7fc _n802 _n805 _n821 _n829 _n831 _n886 _n8bd _n8c0 _n8c3 _n8ef _n8f3 _n918 _n9cd .def 0 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 - 1 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 - - - - 1 0 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - 1 - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - 1 - 1 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - 1 - 1 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - 1 - 1 0 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - 1 - - - - - - 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - 1 - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - 1 - 1 0 - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - 1 - - - 1 - - - - - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 1 - 1 1 - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 1 - 1 0 - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 1 - - - 1 - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 1 1 1 - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 1 1 0 - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 1 0 - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 1 1 - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 1 0 - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 0 - 1 - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 0 - 0 1 - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - 1 0 - 0 0 1 - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - 1 - - - - - - - - - 1 - - - - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 1 1 - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 1 0 - - - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - 1 1 - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - 1 0 - 1 0 - - - - - - - - - - - - - - - - - - - - 1 - - - - - - - - - - - - - - - - 1 - - - - 1 1 .mv _n9ce 3 CWise Stop CCWise .names _n9cd RTRotaryMotor$_n5b7$raw_n94a RTRotaryMotor _n9ce 1 - - =RTRotaryMotor$_n5b7$raw_n94a 0 - - =RTRotaryMotor # non-blocking assignments # latches .r TableLoaded$raw_n5b6 TableLoaded - =TableLoaded$raw_n5b6 .latch _n98e TableLoaded .r RTOutReady$raw_n5b5 RTOutReady - =RTOutReady$raw_n5b5 .latch _n99e RTOutReady .r RTVerticalMotor$raw_n5b3 RTVerticalMotor - =RTVerticalMotor$raw_n5b3 .latch _n9ae RTVerticalMotor .r RTRotaryMotor$raw_n5b2 RTRotaryMotor - =RTRotaryMotor$raw_n5b2 .latch _n9ce RTRotaryMotor .r PieceGrabbedFromFB$raw_n5b4 PieceGrabbedFromFB - =PieceGrabbedFromFB$raw_n5b4 .latch _n9be PieceGrabbedFromFB # quasi-continuous assignment .end .model Press # I/O ports .inputs PressMotor .outputs PressPosition .mv PressMotor 3 GoUp GoDown Stop .mv PressPosition 3 Top Mid Bot # PressPosition = 1 .mv PressPosition$raw_n9dd 3 Top Mid Bot .names PressPosition$raw_n9dd Mid # non-blocking assignments for initial .mv _n9df 3 GoUp GoDown Stop .names _n9df GoUp # PressMotor == 0 .names PressMotor _n9df _n9de .def 0 - =PressMotor 1 .names _n9de _n9e0 - =_n9de .mv _n9e3 3 Top Mid Bot .names _n9e3 Mid .names PressPosition _n9e3 _n9e2 .def 0 - =PressPosition 1 .names _n9e2 _n9e1 1 1 0 0 # PressPosition = 0 .mv PressPosition$_n9e1_n9e4$true 3 Top Mid Bot .names PressPosition$_n9e1_n9e4$true Top .mv _n9e7 3 Top Mid Bot .names _n9e7 Bot .names PressPosition _n9e7 _n9e6 .def 0 - =PressPosition 1 .names _n9e6 _n9e5 1 1 0 0 # PressPosition = 1 .mv PressPosition$_n9e5_n9e8$true 3 Top Mid Bot .names PressPosition$_n9e5_n9e8$true Mid # case (PressPosition ) .mv PressPosition$_n9e5$raw_n9eb 3 Top Mid Bot .names PressPosition$_n9e5_n9e8$true PressPosition _n9e5 PressPosition$_n9e5$raw_n9eb - - 0 =PressPosition - - 1 =PressPosition$_n9e5_n9e8$true .mv PressPosition$_n9e1$raw_n9ec 3 Top Mid Bot .names PressPosition$_n9e1_n9e4$true PressPosition$_n9e5$raw_n9eb _n9e1 PressPosition$_n9e1$raw_n9ec - - 0 =PressPosition$_n9e5$raw_n9eb - - 1 =PressPosition$_n9e1_n9e4$true # if/else (PressMotor == 0) .mv PressPosition$_n9de$raw_n9f2 3 Top Mid Bot .names PressPosition$_n9e1$raw_n9ec PressPosition _n9de PressPosition$_n9de$raw_n9f2 - - 0 =PressPosition - - 1 =PressPosition$_n9e1$raw_n9ec .mv _n9f4 3 GoUp GoDown Stop .names _n9f4 GoDown # PressMotor == 1 .names PressMotor _n9f4 _n9f3 .def 0 - =PressMotor 1 .names _n9f3 _n9f5 - =_n9f3 .mv _n9f8 3 Top Mid Bot .names _n9f8 Top .names PressPosition$_n9de$raw_n9f2 _n9f8 _n9f7 .def 0 - =PressPosition$_n9de$raw_n9f2 1 .names _n9f7 _n9f6 1 1 0 0 # PressPosition = 1 .mv PressPosition$_n9f6_n9f9$true 3 Top Mid Bot .names PressPosition$_n9f6_n9f9$true Mid .mv _n9fc 3 Top Mid Bot .names _n9fc Mid .names PressPosition$_n9de$raw_n9f2 _n9fc _n9fb .def 0 - =PressPosition$_n9de$raw_n9f2 1 .names _n9fb _n9fa 1 1 0 0 # PressPosition = 2 .mv PressPosition$_n9fa_n9fd$true 3 Top Mid Bot .names PressPosition$_n9fa_n9fd$true Bot # case (PressPosition ) .mv PressPosition$_n9fa$raw_n9fe 3 Top Mid Bot .names PressPosition$_n9fa_n9fd$true PressPosition$_n9de$raw_n9f2 _n9fa PressPosition$_n9fa$raw_n9fe - - 0 =PressPosition$_n9de$raw_n9f2 - - 1 =PressPosition$_n9fa_n9fd$true .mv PressPosition$_n9f6$raw_na02 3 Top Mid Bot .names PressPosition$_n9f6_n9f9$true PressPosition$_n9fa$raw_n9fe _n9f6 PressPosition$_n9f6$raw_na02 - - 0 =PressPosition$_n9fa$raw_n9fe - - 1 =PressPosition$_n9f6_n9f9$true # if/else (PressMotor == 1) .mv PressPosition$_n9f3$raw_na06 3 Top Mid Bot .names PressPosition$_n9f6$raw_na02 PressPosition$_n9de$raw_n9f2 _n9f3 PressPosition$_n9f3$raw_na06 - - 0 =PressPosition$_n9de$raw_n9f2 - - 1 =PressPosition$_n9f6$raw_na02 # conflict arbitrators .names _n9e0 _n9e1 _n9e5 _n9f5 _n9f6 _n9fa _na0a .def 0 1 1 - - - - 1 1 0 1 - - - 1 - - - 1 1 - 1 - - - 1 0 1 1 .mv _na0b 3 Top Mid Bot .names _na0a PressPosition$_n9f3$raw_na06 PressPosition _na0b 1 - - =PressPosition$_n9f3$raw_na06 0 - - =PressPosition # non-blocking assignments # latches .r PressPosition$raw_n9dd PressPosition - =PressPosition$raw_n9dd .latch _na0b PressPosition # quasi-continuous assignment .end .model PressCNTR # I/O ports .outputs PressReadyToBeUnLoaded .outputs PressReadyToBeLoaded .inputs ArmLoadedPress .inputs ArmUnLoadedPress .outputs PressMotor .inputs PressPosition .mv PressReadyToBeUnLoaded 2 Y N .mv PressReadyToBeLoaded 2 Y N .mv ArmLoadedPress 2 Y N .mv ArmUnLoadedPress 2 Y N .mv PressLoaded 2 Y N .mv PressMotor 3 GoUp GoDown Stop .mv PressPosition 3 Top Mid Bot # PressMotor = 2 .mv PressMotor$raw_na0d 3 GoUp GoDown Stop .names PressMotor$raw_na0d Stop # PressReadyToBeLoaded = 1 .mv PressReadyToBeLoaded$raw_na0e 2 Y N .names PressReadyToBeLoaded$raw_na0e N # PressReadyToBeUnLoaded = 1 .mv PressReadyToBeUnLoaded$raw_na0f 2 Y N .names PressReadyToBeUnLoaded$raw_na0f N # PressLoaded = 1 .mv PressLoaded$raw_na10 2 Y N .names PressLoaded$raw_na10 N # non-blocking assignments for initial .mv _na13 2 Y N .names _na13 Y .names PressLoaded _na13 _na12 .def 0 - =PressLoaded 1 .names _na12 _na11 1 1 0 0 .mv _na16 3 Top Mid Bot .names _na16 Top .names PressPosition _na16 _na15 .def 0 - =PressPosition 1 .names _na15 _na14 1 1 0 0 # PressMotor = 1 .mv PressMotor$_na14_na17$true 3 GoUp GoDown Stop .names PressMotor$_na14_na17$true GoDown .mv _na1a 3 Top Mid Bot .names _na1a Mid .names PressPosition _na1a _na19 .def 0 - =PressPosition 1 .names _na19 _na18 1 1 0 0 .mv _na1c 3 GoUp GoDown Stop .names _na1c GoDown # PressMotor == 1 .names PressMotor _na1c _na1b .def 0 - =PressMotor 1 .names _na1b _na1d - =_na1b # PressMotor = 2 .mv PressMotor$_na1b_na1e$true 3 GoUp GoDown Stop .names PressMotor$_na1b_na1e$true Stop # PressReadyToBeUnLoaded = 0 .mv PressReadyToBeUnLoaded$_na1b_na1f$true 2 Y N .names PressReadyToBeUnLoaded$_na1b_na1f$true Y # PressMotor = 1 .mv PressMotor$_na1b_na20$false 3 GoUp GoDown Stop .names PressMotor$_na1b_na20$false GoDown # if/else (PressMotor == 1) .mv PressMotor$_na1b$raw_na24 3 GoUp GoDown Stop .names PressMotor$_na1b_na1e$true PressMotor$_na1b_na20$false _na1b PressMotor$_na1b$raw_na24 - - 0 =PressMotor$_na1b_na20$false - - 1 =PressMotor$_na1b_na1e$true .mv PressReadyToBeUnLoaded$_na1b$raw_na25 2 Y N .names PressReadyToBeUnLoaded$_na1b_na1f$true PressReadyToBeUnLoaded _na1b PressReadyToBeUnLoaded$_na1b$raw_na25 - - 0 =PressReadyToBeUnLoaded - - 1 =PressReadyToBeUnLoaded$_na1b_na1f$true .mv _na2a 3 Top Mid Bot .names _na2a Bot .names PressPosition _na2a _na29 .def 0 - =PressPosition 1 .names _na29 _na28 1 1 0 0 .mv _na2c 2 Y N .names _na2c Y # ArmUnLoadedPress == 0 .names ArmUnLoadedPress _na2c _na2b .def 0 - =ArmUnLoadedPress 1 .mv _na2e 2 Y N .names _na2e Y # PressReadyToBeUnLoaded == 0 .names PressReadyToBeUnLoaded _na2e _na2d .def 0 - =PressReadyToBeUnLoaded 1 # ArmUnLoadedPress == 0 && PressReadyToBeUnLoaded == 0 .names _na2b _na2d _na2f .def 0 1 1 1 .names _na2f _na30 - =_na2f # PressMotor = 0 .mv PressMotor$_na2f_na31$true 3 GoUp GoDown Stop .names PressMotor$_na2f_na31$true GoUp # PressLoaded = 1 .mv PressLoaded$_na2f_na32$true 2 Y N .names PressLoaded$_na2f_na32$true N # PressReadyToBeUnLoaded = 1 .mv PressReadyToBeUnLoaded$_na2f_na33$true 2 Y N .names PressReadyToBeUnLoaded$_na2f_na33$true N # PressMotor = 2 .mv PressMotor$_na2f_na34$false 3 GoUp GoDown Stop .names PressMotor$_na2f_na34$false Stop # if/else (ArmUnLoadedPress == 0 && PressReadyToBeUnLoaded == 0) .mv PressMotor$_na2f$raw_na3a 3 GoUp GoDown Stop .names PressMotor$_na2f_na31$true PressMotor$_na2f_na34$false _na2f PressMotor$_na2f$raw_na3a - - 0 =PressMotor$_na2f_na34$false - - 1 =PressMotor$_na2f_na31$true .mv PressReadyToBeUnLoaded$_na2f$raw_na3b 2 Y N .names PressReadyToBeUnLoaded$_na2f_na33$true PressReadyToBeUnLoaded _na2f PressReadyToBeUnLoaded$_na2f$raw_na3b - - 0 =PressReadyToBeUnLoaded - - 1 =PressReadyToBeUnLoaded$_na2f_na33$true .mv PressLoaded$_na2f$raw_na3c 2 Y N .names PressLoaded$_na2f_na32$true PressLoaded _na2f PressLoaded$_na2f$raw_na3c - - 0 =PressLoaded - - 1 =PressLoaded$_na2f_na32$true # case (PressPosition ) .mv PressReadyToBeUnLoaded$_na28$raw_na45 2 Y N .names PressReadyToBeUnLoaded$_na2f$raw_na3b PressReadyToBeUnLoaded _na28 PressReadyToBeUnLoaded$_na28$raw_na45 - - 0 =PressReadyToBeUnLoaded - - 1 =PressReadyToBeUnLoaded$_na2f$raw_na3b .mv PressLoaded$_na28$raw_na46 2 Y N .names PressLoaded$_na2f$raw_na3c PressLoaded _na28 PressLoaded$_na28$raw_na46 - - 0 =PressLoaded - - 1 =PressLoaded$_na2f$raw_na3c .mv PressMotor$_na28$raw_na47 3 GoUp GoDown Stop .names PressMotor$_na2f$raw_na3a PressMotor _na28 PressMotor$_na28$raw_na47 - - 0 =PressMotor - - 1 =PressMotor$_na2f$raw_na3a .mv PressReadyToBeUnLoaded$_na18$raw_na48 2 Y N .names PressReadyToBeUnLoaded$_na1b$raw_na25 PressReadyToBeUnLoaded$_na28$raw_na45 _na18 PressReadyToBeUnLoaded$_na18$raw_na48 - - 0 =PressReadyToBeUnLoaded$_na28$raw_na45 - - 1 =PressReadyToBeUnLoaded$_na1b$raw_na25 .mv PressMotor$_na18$raw_na49 3 GoUp GoDown Stop .names PressMotor$_na1b$raw_na24 PressMotor$_na28$raw_na47 _na18 PressMotor$_na18$raw_na49 - - 0 =PressMotor$_na28$raw_na47 - - 1 =PressMotor$_na1b$raw_na24 .mv PressLoaded$_na18$raw_na4f 2 Y N .names PressLoaded PressLoaded$_na28$raw_na46 _na18 PressLoaded$_na18$raw_na4f - - 0 =PressLoaded$_na28$raw_na46 - - 1 =PressLoaded .mv PressMotor$_na14$raw_na51 3 GoUp GoDown Stop .names PressMotor$_na14_na17$true PressMotor$_na18$raw_na49 _na14 PressMotor$_na14$raw_na51 - - 0 =PressMotor$_na18$raw_na49 - - 1 =PressMotor$_na14_na17$true .mv PressReadyToBeUnLoaded$_na14$raw_na54 2 Y N .names PressReadyToBeUnLoaded PressReadyToBeUnLoaded$_na18$raw_na48 _na14 PressReadyToBeUnLoaded$_na14$raw_na54 - - 0 =PressReadyToBeUnLoaded$_na18$raw_na48 - - 1 =PressReadyToBeUnLoaded .mv PressLoaded$_na14$raw_na55 2 Y N .names PressLoaded PressLoaded$_na18$raw_na4f _na14 PressLoaded$_na14$raw_na55 - - 0 =PressLoaded$_na18$raw_na4f - - 1 =PressLoaded .mv _na59 2 Y N .names _na59 N .names PressLoaded _na59 _na58 .def 0 - =PressLoaded 1 .names _na58 _na57 1 1 0 0 .mv _na5c 3 Top Mid Bot .names _na5c Top .names PressPosition _na5c _na5b .def 0 - =PressPosition 1 .names _na5b _na5a 1 1 0 0 .mv _na5e 3 GoUp GoDown Stop .names _na5e GoDown # PressMotor == 1 .names PressMotor _na5e _na5d .def 0 - =PressMotor 1 .names _na5d _na5f - =_na5d # PressMotor = 2 .mv PressMotor$_na5d_na60$true 3 GoUp GoDown Stop .names PressMotor$_na5d_na60$true Stop # PressReadyToBeLoaded = 0 .mv PressReadyToBeLoaded$_na5d_na61$true 2 Y N .names PressReadyToBeLoaded$_na5d_na61$true Y # PressMotor = 1 .mv PressMotor$_na5d_na62$false 3 GoUp GoDown Stop .names PressMotor$_na5d_na62$false GoDown # if/else (PressMotor == 1) .mv PressMotor$_na5d$raw_na66 3 GoUp GoDown Stop .names PressMotor$_na5d_na60$true PressMotor$_na5d_na62$false _na5d PressMotor$_na5d$raw_na66 - - 0 =PressMotor$_na5d_na62$false - - 1 =PressMotor$_na5d_na60$true .mv PressReadyToBeLoaded$_na5d$raw_na67 2 Y N .names PressReadyToBeLoaded$_na5d_na61$true PressReadyToBeLoaded _na5d PressReadyToBeLoaded$_na5d$raw_na67 - - 0 =PressReadyToBeLoaded - - 1 =PressReadyToBeLoaded$_na5d_na61$true .mv _na6c 3 Top Mid Bot .names _na6c Mid .names PressPosition _na6c _na6b .def 0 - =PressPosition 1 .names _na6b _na6a 1 1 0 0 .mv _na6e 2 Y N .names _na6e Y # ArmLoadedPress == 0 .names ArmLoadedPress _na6e _na6d .def 0 - =ArmLoadedPress 1 .names _na6d _na6f - =_na6d # PressLoaded = 0 .mv PressLoaded$_na6d_na70$true 2 Y N .names PressLoaded$_na6d_na70$true Y # PressMotor = 0 .mv PressMotor$_na6d_na71$true 3 GoUp GoDown Stop .names PressMotor$_na6d_na71$true GoUp # PressMotor = 2 .mv PressMotor$_na6d_na72$false 3 GoUp GoDown Stop .names PressMotor$_na6d_na72$false Stop # PressReadyToBeLoaded = 0 .mv PressReadyToBeLoaded$_na6d_na73$false 2 Y N .names PressReadyToBeLoaded$_na6d_na73$false Y # if/else (ArmLoadedPress == 0) .mv PressMotor$_na6d$raw_na77 3 GoUp GoDown Stop .names PressMotor$_na6d_na71$true PressMotor$_na6d_na72$false _na6d PressMotor$_na6d$raw_na77 - - 0 =PressMotor$_na6d_na72$false - - 1 =PressMotor$_na6d_na71$true .mv PressLoaded$_na6d$raw_na78 2 Y N .names PressLoaded$_na6d_na70$true PressLoaded _na6d PressLoaded$_na6d$raw_na78 - - 0 =PressLoaded - - 1 =PressLoaded$_na6d_na70$true .mv PressReadyToBeLoaded$_na6d$raw_na7a 2 Y N .names PressReadyToBeLoaded PressReadyToBeLoaded$_na6d_na73$false _na6d PressReadyToBeLoaded$_na6d$raw_na7a - - 0 =PressReadyToBeLoaded$_na6d_na73$false - - 1 =PressReadyToBeLoaded # case (PressPosition ) .mv PressReadyToBeLoaded$_na6a$raw_na82 2 Y N .names PressReadyToBeLoaded$_na6d$raw_na7a PressReadyToBeLoaded _na6a PressReadyToBeLoaded$_na6a$raw_na82 - - 0 =PressReadyToBeLoaded - - 1 =PressReadyToBeLoaded$_na6d$raw_na7a .mv PressLoaded$_na6a$raw_na83 2 Y N .names PressLoaded$_na6d$raw_na78 PressLoaded _na6a PressLoaded$_na6a$raw_na83 - - 0 =PressLoaded - - 1 =PressLoaded$_na6d$raw_na78 .mv PressMotor$_na6a$raw_na84 3 GoUp GoDown Stop .names PressMotor$_na6d$raw_na77 PressMotor _na6a PressMotor$_na6a$raw_na84 - - 0 =PressMotor - - 1 =PressMotor$_na6d$raw_na77 .mv PressReadyToBeLoaded$_na5a$raw_na85 2 Y N .names PressReadyToBeLoaded$_na5d$raw_na67 PressReadyToBeLoaded$_na6a$raw_na82 _na5a PressReadyToBeLoaded$_na5a$raw_na85 - - 0 =PressReadyToBeLoaded$_na6a$raw_na82 - - 1 =PressReadyToBeLoaded$_na5d$raw_na67 .mv PressMotor$_na5a$raw_na86 3 GoUp GoDown Stop .names PressMotor$_na5d$raw_na66 PressMotor$_na6a$raw_na84 _na5a PressMotor$_na5a$raw_na86 - - 0 =PressMotor$_na6a$raw_na84 - - 1 =PressMotor$_na5d$raw_na66 .mv PressLoaded$_na5a$raw_na8c 2 Y N .names PressLoaded PressLoaded$_na6a$raw_na83 _na5a PressLoaded$_na5a$raw_na8c - - 0 =PressLoaded$_na6a$raw_na83 - - 1 =PressLoaded # case (PressLoaded ) .mv PressReadyToBeLoaded$_na57$raw_na94 2 Y N .names PressReadyToBeLoaded$_na5a$raw_na85 PressReadyToBeLoaded _na57 PressReadyToBeLoaded$_na57$raw_na94 - - 0 =PressReadyToBeLoaded - - 1 =PressReadyToBeLoaded$_na5a$raw_na85 .mv PressLoaded$_na57$raw_na95 2 Y N .names PressLoaded$_na5a$raw_na8c PressLoaded _na57 PressLoaded$_na57$raw_na95 - - 0 =PressLoaded - - 1 =PressLoaded$_na5a$raw_na8c .mv PressMotor$_na57$raw_na96 3 GoUp GoDown Stop .names PressMotor$_na5a$raw_na86 PressMotor _na57 PressMotor$_na57$raw_na96 - - 0 =PressMotor - - 1 =PressMotor$_na5a$raw_na86 .mv PressLoaded$_na11$raw_na98 2 Y N .names PressLoaded$_na14$raw_na55 PressLoaded$_na57$raw_na95 _na11 PressLoaded$_na11$raw_na98 - - 0 =PressLoaded$_na57$raw_na95 - - 1 =PressLoaded$_na14$raw_na55 .mv PressMotor$_na11$raw_na99 3 GoUp GoDown Stop .names PressMotor$_na14$raw_na51 PressMotor$_na57$raw_na96 _na11 PressMotor$_na11$raw_na99 - - 0 =PressMotor$_na57$raw_na96 - - 1 =PressMotor$_na14$raw_na51 .mv PressReadyToBeUnLoaded$_na11$raw_na9d 2 Y N .names PressReadyToBeUnLoaded$_na14$raw_na54 PressReadyToBeUnLoaded _na11 PressReadyToBeUnLoaded$_na11$raw_na9d - - 0 =PressReadyToBeUnLoaded - - 1 =PressReadyToBeUnLoaded$_na14$raw_na54 .mv PressReadyToBeLoaded$_na11$raw_naa0 2 Y N .names PressReadyToBeLoaded PressReadyToBeLoaded$_na57$raw_na94 _na11 PressReadyToBeLoaded$_na11$raw_naa0 - - 0 =PressReadyToBeLoaded$_na57$raw_na94 - - 1 =PressReadyToBeLoaded .mv _naa4 2 Y N .names _naa4 Y # ArmLoadedPress == 0 .names ArmLoadedPress _naa4 _naa3 .def 0 - =ArmLoadedPress 1 .mv _naa6 2 Y N .names _naa6 Y # PressReadyToBeLoaded == 0 .names PressReadyToBeLoaded$_na11$raw_naa0 _naa6 _naa5 .def 0 - =PressReadyToBeLoaded$_na11$raw_naa0 1 # ArmLoadedPress == 0 && PressReadyToBeLoaded == 0 .names _naa3 _naa5 _naa7 .def 0 1 1 1 .names _naa7 _naa8 - =_naa7 # PressReadyToBeLoaded = 1 .mv PressReadyToBeLoaded$_naa7_naa9$true 2 Y N .names PressReadyToBeLoaded$_naa7_naa9$true N # if/else (ArmLoadedPress == 0 && PressReadyToBeLoaded == 0) .mv PressReadyToBeLoaded$_naa7$raw_naaa 2 Y N .names PressReadyToBeLoaded$_naa7_naa9$true PressReadyToBeLoaded$_na11$raw_naa0 _naa7 PressReadyToBeLoaded$_naa7$raw_naaa - - 0 =PressReadyToBeLoaded$_na11$raw_naa0 - - 1 =PressReadyToBeLoaded$_naa7_naa9$true .mv _nabb 2 Y N .names _nabb Y # PressReadyToBeUnLoaded == 0 .names PressReadyToBeUnLoaded$_na11$raw_na9d _nabb _naba .def 0 - =PressReadyToBeUnLoaded$_na11$raw_na9d 1 .mv _nabd 2 Y N .names _nabd Y # ArmUnLoadedPress == 0 .names ArmUnLoadedPress _nabd _nabc .def 0 - =ArmUnLoadedPress 1 # PressReadyToBeUnLoaded == 0 && ArmUnLoadedPress == 0 .names _naba _nabc _nabe .def 0 1 1 1 .names _nabe _nabf - =_nabe # PressReadyToBeUnLoaded = 1 .mv PressReadyToBeUnLoaded$_nabe_nac0$true 2 Y N .names PressReadyToBeUnLoaded$_nabe_nac0$true N # if/else (PressReadyToBeUnLoaded == 0 && ArmUnLoadedPress == 0) .mv PressReadyToBeUnLoaded$_nabe$raw_nac2 2 Y N .names PressReadyToBeUnLoaded$_nabe_nac0$true PressReadyToBeUnLoaded$_na11$raw_na9d _nabe PressReadyToBeUnLoaded$_nabe$raw_nac2 - - 0 =PressReadyToBeUnLoaded$_na11$raw_na9d - - 1 =PressReadyToBeUnLoaded$_nabe_nac0$true # conflict arbitrators .names _na11 _na14 _na18 _na1d _na28 _na30 _nabf _nad1 .def 0 1 0 1 1 - - - 1 1 0 0 - 1 1 - 1 - - - - - - 1 1 .mv _nad2 2 Y N .names _nad1 PressReadyToBeUnLoaded$_nabe$raw_nac2 PressReadyToBeUnLoaded _nad2 1 - - =PressReadyToBeUnLoaded$_nabe$raw_nac2 0 - - =PressReadyToBeUnLoaded .names _na11 _na57 _na5a _na5f _na6a _na6f _naa8 _nad6 .def 0 0 1 1 1 - - - 1 0 1 0 - 1 0 - 1 - - - - - - 1 1 .mv _nad7 2 Y N .names _nad6 PressReadyToBeLoaded$_naa7$raw_naaa PressReadyToBeLoaded _nad7 1 - - =PressReadyToBeLoaded$_naa7$raw_naaa 0 - - =PressReadyToBeLoaded .names _na11 _na14 _na18 _na28 _na30 _na57 _na5a _na6a _na6f _nadb .def 0 1 0 0 1 1 - - - - 1 0 - - - - 1 0 1 1 1 .mv _nadc 2 Y N .names _nadb PressLoaded$_na11$raw_na98 PressLoaded _nadc 1 - - =PressLoaded$_na11$raw_na98 0 - - =PressLoaded .names _na11 _na14 _na18 _na1d _na28 _na30 _na57 _na5a _na5f _na6a _na6f _nae0 .def 0 1 1 - - - - - - - - - 1 1 0 1 1 - - - - - - - 1 1 0 1 0 - - - - - - - 1 1 0 0 - 1 1 - - - - - 1 1 0 0 - 1 0 - - - - - 1 0 - - - - - 1 1 1 - - 1 0 - - - - - 1 1 0 - - 1 0 - - - - - 1 0 - 1 1 1 0 - - - - - 1 0 - 1 0 1 .mv _nae1 3 GoUp GoDown Stop .names _nae0 PressMotor$_na11$raw_na99 PressMotor _nae1 1 - - =PressMotor$_na11$raw_na99 0 - - =PressMotor # non-blocking assignments # latches .r PressReadyToBeLoaded$raw_na0e PressReadyToBeLoaded - =PressReadyToBeLoaded$raw_na0e .latch _nad7 PressReadyToBeLoaded .r PressReadyToBeUnLoaded$raw_na0f PressReadyToBeUnLoaded - =PressReadyToBeUnLoaded$raw_na0f .latch _nad2 PressReadyToBeUnLoaded .r PressLoaded$raw_na10 PressLoaded - =PressLoaded$raw_na10 .latch _nadc PressLoaded .r PressMotor$raw_na0d PressMotor - =PressMotor$raw_na0d .latch _nae1 PressMotor # quasi-continuous assignment .end .model RobotArm # I/O ports .outputs RAArmOverDB .outputs RAArmOverLoadedPress .outputs RAUnLoadArmExtended .inputs RAExtendUnLoadArm .inputs RARotaryMotor .outputs RAArmOverUnLoadedPress .outputs RALoadArmExtended .outputs RAArmOverRT .outputs RALoadArmRetracted .inputs RAExtendLoadArm .outputs RAUnLoadArmRetracted .mv RAArmOverDB 2 Y N .mv RAArmOverLoadedPress 2 Y N .mv RAUnLoadArmExtended 2 Y N .mv RAExtendUnLoadArm 3 Extend Retract Stop .mv RARotaryMotor 3 CWise Stop CCWise .mv RALoadArm 3 Extended Retracted Middle .mv RAAnglePos 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .mv RAArmOverUnLoadedPress 2 Y N .mv RALoadArmExtended 2 Y N .mv RAUnLoadArm 3 Extended Retracted Middle .mv RAArmOverRT 2 Y N .mv RALoadArmRetracted 2 Y N .mv RAExtendLoadArm 3 Extend Retract Stop .mv RAUnLoadArmRetracted 2 Y N # assign RALoadArmExtended = (RALoadArm == Extended ) ? 0 : 1 .mv RALoadArmExtended$raw_nae5 2 Y N .mv _nae7 3 Extended Retracted Middle .names _nae7 Extended # RALoadArm == 0 .names RALoadArm _nae7 _nae6 .def 0 - =RALoadArm 1 .mv _nae8 2 Y N .names _nae8 Y .mv _nae9 2 Y N .names _nae9 N # (RALoadArm == 0) ? 0 : 1 .mv _naea 2 Y N .names _nae8 _nae9 _nae6 _naea - - 0 =_nae9 - - 1 =_nae8 .names _naea RALoadArmExtended$raw_nae5 - =_naea # assign RALoadArmRetracted = (RALoadArm == Retracted ) ? 0 : 1 .mv RALoadArmRetracted$raw_naeb 2 Y N .mv _naed 3 Extended Retracted Middle .names _naed Retracted # RALoadArm == 1 .names RALoadArm _naed _naec .def 0 - =RALoadArm 1 .mv _naee 2 Y N .names _naee Y .mv _naef 2 Y N .names _naef N # (RALoadArm == 1) ? 0 : 1 .mv _naf0 2 Y N .names _naee _naef _naec _naf0 - - 0 =_naef - - 1 =_naee .names _naf0 RALoadArmRetracted$raw_naeb - =_naf0 # assign RAUnLoadArmExtended = (RAUnLoadArm == Extended ) ? 0 : 1 .mv RAUnLoadArmExtended$raw_naf1 2 Y N .mv _naf3 3 Extended Retracted Middle .names _naf3 Extended # RAUnLoadArm == 0 .names RAUnLoadArm _naf3 _naf2 .def 0 - =RAUnLoadArm 1 .mv _naf4 2 Y N .names _naf4 Y .mv _naf5 2 Y N .names _naf5 N # (RAUnLoadArm == 0) ? 0 : 1 .mv _naf6 2 Y N .names _naf4 _naf5 _naf2 _naf6 - - 0 =_naf5 - - 1 =_naf4 .names _naf6 RAUnLoadArmExtended$raw_naf1 - =_naf6 # assign RAUnLoadArmRetracted = (RAUnLoadArm == Retracted ) ? 0 : 1 .mv RAUnLoadArmRetracted$raw_naf7 2 Y N .mv _naf9 3 Extended Retracted Middle .names _naf9 Retracted # RAUnLoadArm == 1 .names RAUnLoadArm _naf9 _naf8 .def 0 - =RAUnLoadArm 1 .mv _nafa 2 Y N .names _nafa Y .mv _nafb 2 Y N .names _nafb N # (RAUnLoadArm == 1) ? 0 : 1 .mv _nafc 2 Y N .names _nafa _nafb _naf8 _nafc - - 0 =_nafb - - 1 =_nafa .names _nafc RAUnLoadArmRetracted$raw_naf7 - =_nafc # assign RAArmOverRT = (RAAnglePos == OverRT ) ? 0 : 1 .mv RAArmOverRT$raw_nafd 2 Y N .mv _naff 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _naff OverRT # RAAnglePos == 0 .names RAAnglePos _naff _nafe .def 0 - =RAAnglePos 1 .mv _nb00 2 Y N .names _nb00 Y .mv _nb01 2 Y N .names _nb01 N # (RAAnglePos == 0) ? 0 : 1 .mv _nb02 2 Y N .names _nb00 _nb01 _nafe _nb02 - - 0 =_nb01 - - 1 =_nb00 .names _nb02 RAArmOverRT$raw_nafd - =_nb02 # assign RAArmOverUnLoadedPress = (RAAnglePos == OverUnLoadedPress ) ? 0 : 1 .mv RAArmOverUnLoadedPress$raw_nb03 2 Y N .mv _nb05 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nb05 OverUnLoadedPress # RAAnglePos == 3 .names RAAnglePos _nb05 _nb04 .def 0 - =RAAnglePos 1 .mv _nb06 2 Y N .names _nb06 Y .mv _nb07 2 Y N .names _nb07 N # (RAAnglePos == 3) ? 0 : 1 .mv _nb08 2 Y N .names _nb06 _nb07 _nb04 _nb08 - - 0 =_nb07 - - 1 =_nb06 .names _nb08 RAArmOverUnLoadedPress$raw_nb03 - =_nb08 # assign RAArmOverLoadedPress = (RAAnglePos == OverLoadedPress ) ? 0 : 1 .mv RAArmOverLoadedPress$raw_nb09 2 Y N .mv _nb0b 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nb0b OverLoadedPress # RAAnglePos == 1 .names RAAnglePos _nb0b _nb0a .def 0 - =RAAnglePos 1 .mv _nb0c 2 Y N .names _nb0c Y .mv _nb0d 2 Y N .names _nb0d N # (RAAnglePos == 1) ? 0 : 1 .mv _nb0e 2 Y N .names _nb0c _nb0d _nb0a _nb0e - - 0 =_nb0d - - 1 =_nb0c .names _nb0e RAArmOverLoadedPress$raw_nb09 - =_nb0e # assign RAArmOverDB = (RAAnglePos == OverDB ) ? 0 : 1 .mv RAArmOverDB$raw_nb0f 2 Y N .mv _nb11 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nb11 OverDB # RAAnglePos == 2 .names RAAnglePos _nb11 _nb10 .def 0 - =RAAnglePos 1 .mv _nb12 2 Y N .names _nb12 Y .mv _nb13 2 Y N .names _nb13 N # (RAAnglePos == 2) ? 0 : 1 .mv _nb14 2 Y N .names _nb12 _nb13 _nb10 _nb14 - - 0 =_nb13 - - 1 =_nb12 .names _nb14 RAArmOverDB$raw_nb0f - =_nb14 # RALoadArm = 1 .mv RALoadArm$raw_nb15 3 Extended Retracted Middle .names RALoadArm$raw_nb15 Retracted # RAUnLoadArm = 1 .mv RAUnLoadArm$raw_nb16 3 Extended Retracted Middle .names RAUnLoadArm$raw_nb16 Retracted # RAAnglePos = $NDset ( 0,1,2,3 ) .mv RAAnglePos$raw_nb17 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .mv RAAnglePos$raw_nb17$initial$_nb18 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$raw_nb17$initial$_nb18 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$raw_nb17$initial$_nb18 RAAnglePos$raw_nb17 - =RAAnglePos$raw_nb17$initial$_nb18 # non-blocking assignments for initial .mv _nb1a 3 Extend Retract Stop .names _nb1a Extend # RAExtendLoadArm == 0 .names RAExtendLoadArm _nb1a _nb19 .def 0 - =RAExtendLoadArm 1 .names _nb19 _nb1b - =_nb19 .mv _nb1e 3 Extended Retracted Middle .names _nb1e Retracted .names RALoadArm _nb1e _nb1d .def 0 - =RALoadArm 1 .names _nb1d _nb1c 1 1 0 0 # RALoadArm = 2 .mv RALoadArm$_nb1c_nb1f$true 3 Extended Retracted Middle .names RALoadArm$_nb1c_nb1f$true Middle .mv _nb22 3 Extended Retracted Middle .names _nb22 Middle .names RALoadArm _nb22 _nb21 .def 0 - =RALoadArm 1 .names _nb21 _nb20 1 1 0 0 # RALoadArm = 0 .mv RALoadArm$_nb20_nb23$true 3 Extended Retracted Middle .names RALoadArm$_nb20_nb23$true Extended # case (RALoadArm ) .mv RALoadArm$_nb20$raw_nb26 3 Extended Retracted Middle .names RALoadArm$_nb20_nb23$true RALoadArm _nb20 RALoadArm$_nb20$raw_nb26 - - 0 =RALoadArm - - 1 =RALoadArm$_nb20_nb23$true .mv RALoadArm$_nb1c$raw_nb27 3 Extended Retracted Middle .names RALoadArm$_nb1c_nb1f$true RALoadArm$_nb20$raw_nb26 _nb1c RALoadArm$_nb1c$raw_nb27 - - 0 =RALoadArm$_nb20$raw_nb26 - - 1 =RALoadArm$_nb1c_nb1f$true # if/else (RAExtendLoadArm == 0) .mv RALoadArm$_nb19$raw_nb2d 3 Extended Retracted Middle .names RALoadArm$_nb1c$raw_nb27 RALoadArm _nb19 RALoadArm$_nb19$raw_nb2d - - 0 =RALoadArm - - 1 =RALoadArm$_nb1c$raw_nb27 .mv _nb2f 3 Extend Retract Stop .names _nb2f Retract # RAExtendLoadArm == 1 .names RAExtendLoadArm _nb2f _nb2e .def 0 - =RAExtendLoadArm 1 .names _nb2e _nb30 - =_nb2e .mv _nb33 3 Extended Retracted Middle .names _nb33 Extended .names RALoadArm$_nb19$raw_nb2d _nb33 _nb32 .def 0 - =RALoadArm$_nb19$raw_nb2d 1 .names _nb32 _nb31 1 1 0 0 # RALoadArm = 2 .mv RALoadArm$_nb31_nb34$true 3 Extended Retracted Middle .names RALoadArm$_nb31_nb34$true Middle .mv _nb37 3 Extended Retracted Middle .names _nb37 Middle .names RALoadArm$_nb19$raw_nb2d _nb37 _nb36 .def 0 - =RALoadArm$_nb19$raw_nb2d 1 .names _nb36 _nb35 1 1 0 0 # RALoadArm = 1 .mv RALoadArm$_nb35_nb38$true 3 Extended Retracted Middle .names RALoadArm$_nb35_nb38$true Retracted # case (RALoadArm ) .mv RALoadArm$_nb35$raw_nb39 3 Extended Retracted Middle .names RALoadArm$_nb35_nb38$true RALoadArm$_nb19$raw_nb2d _nb35 RALoadArm$_nb35$raw_nb39 - - 0 =RALoadArm$_nb19$raw_nb2d - - 1 =RALoadArm$_nb35_nb38$true .mv RALoadArm$_nb31$raw_nb3d 3 Extended Retracted Middle .names RALoadArm$_nb31_nb34$true RALoadArm$_nb35$raw_nb39 _nb31 RALoadArm$_nb31$raw_nb3d - - 0 =RALoadArm$_nb35$raw_nb39 - - 1 =RALoadArm$_nb31_nb34$true # if/else (RAExtendLoadArm == 1) .mv RALoadArm$_nb2e$raw_nb41 3 Extended Retracted Middle .names RALoadArm$_nb31$raw_nb3d RALoadArm$_nb19$raw_nb2d _nb2e RALoadArm$_nb2e$raw_nb41 - - 0 =RALoadArm$_nb19$raw_nb2d - - 1 =RALoadArm$_nb31$raw_nb3d .mv _nb46 3 Extend Retract Stop .names _nb46 Extend # RAExtendUnLoadArm == 0 .names RAExtendUnLoadArm _nb46 _nb45 .def 0 - =RAExtendUnLoadArm 1 .names _nb45 _nb47 - =_nb45 .mv _nb4a 3 Extended Retracted Middle .names _nb4a Retracted .names RAUnLoadArm _nb4a _nb49 .def 0 - =RAUnLoadArm 1 .names _nb49 _nb48 1 1 0 0 # RAUnLoadArm = 2 .mv RAUnLoadArm$_nb48_nb4b$true 3 Extended Retracted Middle .names RAUnLoadArm$_nb48_nb4b$true Middle .mv _nb4e 3 Extended Retracted Middle .names _nb4e Middle .names RAUnLoadArm _nb4e _nb4d .def 0 - =RAUnLoadArm 1 .names _nb4d _nb4c 1 1 0 0 # RAUnLoadArm = 0 .mv RAUnLoadArm$_nb4c_nb4f$true 3 Extended Retracted Middle .names RAUnLoadArm$_nb4c_nb4f$true Extended # case (RAUnLoadArm ) .mv RAUnLoadArm$_nb4c$raw_nb55 3 Extended Retracted Middle .names RAUnLoadArm$_nb4c_nb4f$true RAUnLoadArm _nb4c RAUnLoadArm$_nb4c$raw_nb55 - - 0 =RAUnLoadArm - - 1 =RAUnLoadArm$_nb4c_nb4f$true .mv RAUnLoadArm$_nb48$raw_nb58 3 Extended Retracted Middle .names RAUnLoadArm$_nb48_nb4b$true RAUnLoadArm$_nb4c$raw_nb55 _nb48 RAUnLoadArm$_nb48$raw_nb58 - - 0 =RAUnLoadArm$_nb4c$raw_nb55 - - 1 =RAUnLoadArm$_nb48_nb4b$true # if/else (RAExtendUnLoadArm == 0) .mv RAUnLoadArm$_nb45$raw_nb64 3 Extended Retracted Middle .names RAUnLoadArm$_nb48$raw_nb58 RAUnLoadArm _nb45 RAUnLoadArm$_nb45$raw_nb64 - - 0 =RAUnLoadArm - - 1 =RAUnLoadArm$_nb48$raw_nb58 .mv _nb67 3 Extend Retract Stop .names _nb67 Retract # RAExtendUnLoadArm == 1 .names RAExtendUnLoadArm _nb67 _nb66 .def 0 - =RAExtendUnLoadArm 1 .names _nb66 _nb68 - =_nb66 .mv _nb6b 3 Extended Retracted Middle .names _nb6b Extended .names RAUnLoadArm$_nb45$raw_nb64 _nb6b _nb6a .def 0 - =RAUnLoadArm$_nb45$raw_nb64 1 .names _nb6a _nb69 1 1 0 0 # RAUnLoadArm = 2 .mv RAUnLoadArm$_nb69_nb6c$true 3 Extended Retracted Middle .names RAUnLoadArm$_nb69_nb6c$true Middle .mv _nb6f 3 Extended Retracted Middle .names _nb6f Middle .names RAUnLoadArm$_nb45$raw_nb64 _nb6f _nb6e .def 0 - =RAUnLoadArm$_nb45$raw_nb64 1 .names _nb6e _nb6d 1 1 0 0 # RAUnLoadArm = 1 .mv RAUnLoadArm$_nb6d_nb70$true 3 Extended Retracted Middle .names RAUnLoadArm$_nb6d_nb70$true Retracted # case (RAUnLoadArm ) .mv RAUnLoadArm$_nb6d$raw_nb72 3 Extended Retracted Middle .names RAUnLoadArm$_nb6d_nb70$true RAUnLoadArm$_nb45$raw_nb64 _nb6d RAUnLoadArm$_nb6d$raw_nb72 - - 0 =RAUnLoadArm$_nb45$raw_nb64 - - 1 =RAUnLoadArm$_nb6d_nb70$true .mv RAUnLoadArm$_nb69$raw_nb7a 3 Extended Retracted Middle .names RAUnLoadArm$_nb69_nb6c$true RAUnLoadArm$_nb6d$raw_nb72 _nb69 RAUnLoadArm$_nb69$raw_nb7a - - 0 =RAUnLoadArm$_nb6d$raw_nb72 - - 1 =RAUnLoadArm$_nb69_nb6c$true # if/else (RAExtendUnLoadArm == 1) .mv RAUnLoadArm$_nb66$raw_nb82 3 Extended Retracted Middle .names RAUnLoadArm$_nb69$raw_nb7a RAUnLoadArm$_nb45$raw_nb64 _nb66 RAUnLoadArm$_nb66$raw_nb82 - - 0 =RAUnLoadArm$_nb45$raw_nb64 - - 1 =RAUnLoadArm$_nb69$raw_nb7a .mv _nb8a 3 CWise Stop CCWise .names _nb8a CCWise # RARotaryMotor == 2 .names RARotaryMotor _nb8a _nb89 .def 0 - =RARotaryMotor 1 .names _nb89 _nb8b - =_nb89 .mv _nb8e 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nb8e OverRT .names RAAnglePos _nb8e _nb8d .def 0 - =RAAnglePos 1 .names _nb8d _nb8c 1 1 0 0 # RAAnglePos = 3 .mv RAAnglePos$_nb8c_nb8f$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nb8c_nb8f$true OverUnLoadedPress .mv _nb92 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nb92 OverUnLoadedPress .names RAAnglePos _nb92 _nb91 .def 0 - =RAAnglePos 1 .names _nb91 _nb90 1 1 0 0 # RAAnglePos = 2 .mv RAAnglePos$_nb90_nb93$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nb90_nb93$true OverDB .mv _nb96 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nb96 OverDB .names RAAnglePos _nb96 _nb95 .def 0 - =RAAnglePos 1 .names _nb95 _nb94 1 1 0 0 # RAAnglePos = 1 .mv RAAnglePos$_nb94_nb97$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nb94_nb97$true OverLoadedPress # case (RAAnglePos ) .mv RAAnglePos$_nb94$raw_nb9f 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nb94_nb97$true RAAnglePos _nb94 RAAnglePos$_nb94$raw_nb9f - - 0 =RAAnglePos - - 1 =RAAnglePos$_nb94_nb97$true .mv RAAnglePos$_nb90$raw_nba4 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nb90_nb93$true RAAnglePos$_nb94$raw_nb9f _nb90 RAAnglePos$_nb90$raw_nba4 - - 0 =RAAnglePos$_nb94$raw_nb9f - - 1 =RAAnglePos$_nb90_nb93$true .mv RAAnglePos$_nb8c$raw_nbb0 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nb8c_nb8f$true RAAnglePos$_nb90$raw_nba4 _nb8c RAAnglePos$_nb8c$raw_nbb0 - - 0 =RAAnglePos$_nb90$raw_nba4 - - 1 =RAAnglePos$_nb8c_nb8f$true # if/else (RARotaryMotor == 2) .mv RAAnglePos$_nb89$raw_nbc2 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nb8c$raw_nbb0 RAAnglePos _nb89 RAAnglePos$_nb89$raw_nbc2 - - 0 =RAAnglePos - - 1 =RAAnglePos$_nb8c$raw_nbb0 .mv _nbc7 3 CWise Stop CCWise .names _nbc7 CWise # RARotaryMotor == 0 .names RARotaryMotor _nbc7 _nbc6 .def 0 - =RARotaryMotor 1 .names _nbc6 _nbc8 - =_nbc6 .mv _nbcb 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nbcb OverLoadedPress .names RAAnglePos$_nb89$raw_nbc2 _nbcb _nbca .def 0 - =RAAnglePos$_nb89$raw_nbc2 1 .names _nbca _nbc9 1 1 0 0 # RAAnglePos = 2 .mv RAAnglePos$_nbc9_nbcc$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nbc9_nbcc$true OverDB .mv _nbcf 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nbcf OverDB .names RAAnglePos$_nb89$raw_nbc2 _nbcf _nbce .def 0 - =RAAnglePos$_nb89$raw_nbc2 1 .names _nbce _nbcd 1 1 0 0 # RAAnglePos = 3 .mv RAAnglePos$_nbcd_nbd0$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nbcd_nbd0$true OverUnLoadedPress .mv _nbd3 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nbd3 OverUnLoadedPress .names RAAnglePos$_nb89$raw_nbc2 _nbd3 _nbd2 .def 0 - =RAAnglePos$_nb89$raw_nbc2 1 .names _nbd2 _nbd1 1 1 0 0 # RAAnglePos = 0 .mv RAAnglePos$_nbd1_nbd4$true 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nbd1_nbd4$true OverRT # case (RAAnglePos ) .mv RAAnglePos$_nbd1$raw_nbd6 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nbd1_nbd4$true RAAnglePos$_nb89$raw_nbc2 _nbd1 RAAnglePos$_nbd1$raw_nbd6 - - 0 =RAAnglePos$_nb89$raw_nbc2 - - 1 =RAAnglePos$_nbd1_nbd4$true .mv RAAnglePos$_nbcd$raw_nbe2 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nbcd_nbd0$true RAAnglePos$_nbd1$raw_nbd6 _nbcd RAAnglePos$_nbcd$raw_nbe2 - - 0 =RAAnglePos$_nbd1$raw_nbd6 - - 1 =RAAnglePos$_nbcd_nbd0$true .mv RAAnglePos$_nbc9$raw_nbee 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nbc9_nbcc$true RAAnglePos$_nbcd$raw_nbe2 _nbc9 RAAnglePos$_nbc9$raw_nbee - - 0 =RAAnglePos$_nbcd$raw_nbe2 - - 1 =RAAnglePos$_nbc9_nbcc$true # if/else (RARotaryMotor == 0) .mv RAAnglePos$_nbc6$raw_nbfa 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names RAAnglePos$_nbc9$raw_nbee RAAnglePos$_nb89$raw_nbc2 _nbc6 RAAnglePos$_nbc6$raw_nbfa - - 0 =RAAnglePos$_nb89$raw_nbc2 - - 1 =RAAnglePos$_nbc9$raw_nbee # conflict arbitrators .names RAArmOverDB$raw_nb0f RAArmOverDB - =RAArmOverDB$raw_nb0f .names RAArmOverLoadedPress$raw_nb09 RAArmOverLoadedPress - =RAArmOverLoadedPress$raw_nb09 .names RAUnLoadArmExtended$raw_naf1 RAUnLoadArmExtended - =RAUnLoadArmExtended$raw_naf1 .names _nb1b _nb1c _nb20 _nb30 _nb31 _nb35 _nc05 .def 0 1 1 - - - - 1 1 0 1 - - - 1 - - - 1 1 - 1 - - - 1 0 1 1 .mv _nc06 3 Extended Retracted Middle .names _nc05 RALoadArm$_nb2e$raw_nb41 RALoadArm _nc06 1 - - =RALoadArm$_nb2e$raw_nb41 0 - - =RALoadArm .names _nb8b _nb8c _nb90 _nb94 _nbc8 _nbc9 _nbcd _nbd1 _nc1a .def 0 1 1 - - - - - - 1 1 0 1 - - - - - 1 1 0 0 1 - - - - 1 - - - - 1 1 - - 1 - - - - 1 0 1 - 1 - - - - 1 0 0 1 1 .mv _nc1b 4 OverRT OverLoadedPress OverDB OverUnLoadedPress .names _nc1a RAAnglePos$_nbc6$raw_nbfa RAAnglePos _nc1b 1 - - =RAAnglePos$_nbc6$raw_nbfa 0 - - =RAAnglePos .names RAArmOverUnLoadedPress$raw_nb03 RAArmOverUnLoadedPress - =RAArmOverUnLoadedPress$raw_nb03 .names RALoadArmExtended$raw_nae5 RALoadArmExtended - =RALoadArmExtended$raw_nae5 .names _nb47 _nb48 _nb4c _nb68 _nb69 _nb6d _nc2f .def 0 1 1 - - - - 1 1 0 1 - - - 1 - - - 1 1 - 1 - - - 1 0 1 1 .mv _nc30 3 Extended Retracted Middle .names _nc2f RAUnLoadArm$_nb66$raw_nb82 RAUnLoadArm _nc30 1 - - =RAUnLoadArm$_nb66$raw_nb82 0 - - =RAUnLoadArm .names RAArmOverRT$raw_nafd RAArmOverRT - =RAArmOverRT$raw_nafd .names RALoadArmRetracted$raw_naeb RALoadArmRetracted - =RALoadArmRetracted$raw_naeb .names RAUnLoadArmRetracted$raw_naf7 RAUnLoadArmRetracted - =RAUnLoadArmRetracted$raw_naf7 # non-blocking assignments # latches .r RALoadArm$raw_nb15 RALoadArm - =RALoadArm$raw_nb15 .latch _nc06 RALoadArm .r RAAnglePos$raw_nb17 RAAnglePos - =RAAnglePos$raw_nb17 .latch _nc1b RAAnglePos .r RAUnLoadArm$raw_nb16 RAUnLoadArm - =RAUnLoadArm$raw_nb16 .latch _nc30 RAUnLoadArm # quasi-continuous assignment .end .model RobotArmCNTR # I/O ports .outputs ArmLoadedPress .outputs RAExtendUnLoadArm .inputs PressReadyToBeLoaded .inputs PressReadyToBeUnLoaded .inputs RAArmOverDB .inputs RAArmOverLoadedPress .inputs RAUnLoadArmExtended .outputs ArmUnLoadedPress .outputs RARotaryMotor .inputs RTOutReady .inputs RAArmOverUnLoadedPress .inputs RALoadArmExtended .outputs RAExtendLoadArm .inputs RAArmOverRT .inputs RALoadArmRetracted .outputs PieceGrabbedFromRT .outputs PieceOutArm .inputs DBReady .inputs RAUnLoadArmRetracted .mv UnLoadArmLoaded 2 Y N .mv ArmLoadedPress 2 Y N .mv RAExtendUnLoadArm 3 Extend Retract Stop .mv PressReadyToBeLoaded 2 Y N .mv PressReadyToBeUnLoaded 2 Y N .mv RAArmOverDB 2 Y N .mv RAArmOverLoadedPress 2 Y N .mv RAUnLoadArmExtended 2 Y N .mv ArmUnLoadedPress 2 Y N .mv RARotaryMotor 3 CWise Stop CCWise .mv RTOutReady 2 Y N .mv RAArmOverUnLoadedPress 2 Y N .mv RALoadArmExtended 2 Y N .mv RAExtendLoadArm 3 Extend Retract Stop .mv RAArmOverRT 2 Y N .mv RALoadArmRetracted 2 Y N .mv PieceGrabbedFromRT 2 Y N .mv PieceOutArm 2 Y N .mv LoadArmLoaded 2 Y N .mv DBReady 2 Y N .mv RAUnLoadArmRetracted 2 Y N # RAExtendLoadArm = 2 .mv RAExtendLoadArm$raw_nc44 3 Extend Retract Stop .names RAExtendLoadArm$raw_nc44 Stop # RAExtendUnLoadArm = 2 .mv RAExtendUnLoadArm$raw_nc45 3 Extend Retract Stop .names RAExtendUnLoadArm$raw_nc45 Stop # RARotaryMotor = 1 .mv RARotaryMotor$raw_nc46 3 CWise Stop CCWise .names RARotaryMotor$raw_nc46 Stop # PieceOutArm = 1 .mv PieceOutArm$raw_nc47 2 Y N .names PieceOutArm$raw_nc47 N # ArmUnLoadedPress = 1 .mv ArmUnLoadedPress$raw_nc48 2 Y N .names ArmUnLoadedPress$raw_nc48 N # ArmLoadedPress = 1 .mv ArmLoadedPress$raw_nc49 2 Y N .names ArmLoadedPress$raw_nc49 N # PieceGrabbedFromRT = 1 .mv PieceGrabbedFromRT$raw_nc4a 2 Y N .names PieceGrabbedFromRT$raw_nc4a N # LoadArmLoaded = 1 .mv LoadArmLoaded$raw_nc4b 2 Y N .names LoadArmLoaded$raw_nc4b N # UnLoadArmLoaded = 1 .mv UnLoadArmLoaded$raw_nc4c 2 Y N .names UnLoadArmLoaded$raw_nc4c N # non-blocking assignments for initial .mv _nc4e 2 Y N .names _nc4e N # LoadArmLoaded == 1 .names LoadArmLoaded _nc4e _nc4d .def 0 - =LoadArmLoaded 1 .mv _nc50 2 Y N .names _nc50 N # UnLoadArmLoaded == 1 .names UnLoadArmLoaded _nc50 _nc4f .def 0 - =UnLoadArmLoaded 1 # LoadArmLoaded == 1 && UnLoadArmLoaded == 1 .names _nc4d _nc4f _nc51 .def 0 1 1 1 .names _nc51 _nc52 - =_nc51 .mv _nc54 2 Y N .names _nc54 Y # RAArmOverRT == 0 .names RAArmOverRT _nc54 _nc53 .def 0 - =RAArmOverRT 1 .names _nc53 _nc55 - =_nc53 .mv _nc57 2 Y N .names _nc57 Y # RALoadArmRetracted == 0 .names RALoadArmRetracted _nc57 _nc56 .def 0 - =RALoadArmRetracted 1 .mv _nc59 2 Y N .names _nc59 Y # RAUnLoadArmRetracted == 0 .names RAUnLoadArmRetracted _nc59 _nc58 .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 .names _nc56 _nc58 _nc5a .def 0 1 1 1 .mv _nc5c 3 Extend Retract Stop .names _nc5c Stop # RAExtendLoadArm == 2 .names RAExtendLoadArm _nc5c _nc5b .def 0 - =RAExtendLoadArm 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 .names _nc5a _nc5b _nc5d .def 0 1 1 1 .mv _nc5f 3 Extend Retract Stop .names _nc5f Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm _nc5f _nc5e .def 0 - =RAExtendUnLoadArm 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 .names _nc5d _nc5e _nc60 .def 0 1 1 1 .mv _nc62 3 CWise Stop CCWise .names _nc62 Stop # RARotaryMotor == 1 .names RARotaryMotor _nc62 _nc61 .def 0 - =RARotaryMotor 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1 .names _nc60 _nc61 _nc63 .def 0 1 1 1 .names _nc63 _nc64 - =_nc63 .mv _nc66 2 Y N .names _nc66 Y # RTOutReady == 0 .names RTOutReady _nc66 _nc65 .def 0 - =RTOutReady 1 .names _nc65 _nc67 - =_nc65 # RAExtendLoadArm = 0 .mv RAExtendLoadArm$_nc65_nc68$true 3 Extend Retract Stop .names RAExtendLoadArm$_nc65_nc68$true Extend .mv _nc6a 2 Y N .names _nc6a Y # PressReadyToBeUnLoaded == 0 .names PressReadyToBeUnLoaded _nc6a _nc69 .def 0 - =PressReadyToBeUnLoaded 1 .names _nc69 _nc6b - =_nc69 # RARotaryMotor = 2 .mv RARotaryMotor$_nc69_nc6c$true 3 CWise Stop CCWise .names RARotaryMotor$_nc69_nc6c$true CCWise # if/else (PressReadyToBeUnLoaded == 0) .mv RARotaryMotor$_nc69$raw_nc6f 3 CWise Stop CCWise .names RARotaryMotor$_nc69_nc6c$true RARotaryMotor _nc69 RARotaryMotor$_nc69$raw_nc6f - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_nc69_nc6c$true # if/else (RTOutReady == 0) .mv RAExtendLoadArm$_nc65$raw_nc72 3 Extend Retract Stop .names RAExtendLoadArm$_nc65_nc68$true RAExtendLoadArm _nc65 RAExtendLoadArm$_nc65$raw_nc72 - - 0 =RAExtendLoadArm - - 1 =RAExtendLoadArm$_nc65_nc68$true .mv RARotaryMotor$_nc65$raw_nc73 3 CWise Stop CCWise .names RARotaryMotor RARotaryMotor$_nc69$raw_nc6f _nc65 RARotaryMotor$_nc65$raw_nc73 - - 0 =RARotaryMotor$_nc69$raw_nc6f - - 1 =RARotaryMotor .mv _nc75 2 Y N .names _nc75 Y # RALoadArmRetracted == 0 .names RALoadArmRetracted _nc75 _nc74 .def 0 - =RALoadArmRetracted 1 .mv _nc77 2 Y N .names _nc77 Y # RAUnLoadArmRetracted == 0 .names RAUnLoadArmRetracted _nc77 _nc76 .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 .names _nc74 _nc76 _nc78 .def 0 1 1 1 .mv _nc7a 3 Extend Retract Stop .names _nc7a Stop # RAExtendLoadArm == 2 .names RAExtendLoadArm _nc7a _nc79 .def 0 - =RAExtendLoadArm 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 .names _nc78 _nc79 _nc7b .def 0 1 1 1 .mv _nc7d 3 Extend Retract Stop .names _nc7d Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm _nc7d _nc7c .def 0 - =RAExtendUnLoadArm 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 .names _nc7b _nc7c _nc7e .def 0 1 1 1 .mv _nc80 3 CWise Stop CCWise .names _nc80 CCWise # RARotaryMotor == 2 .names RARotaryMotor _nc80 _nc7f .def 0 - =RARotaryMotor 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 2 .names _nc7e _nc7f _nc81 .def 0 1 1 1 .names _nc81 _nc82 - =_nc81 .mv _nc84 2 Y N .names _nc84 Y # PressReadyToBeUnLoaded == 0 .names PressReadyToBeUnLoaded _nc84 _nc83 .def 0 - =PressReadyToBeUnLoaded 1 .names _nc83 _nc85 - =_nc83 # RARotaryMotor = 1 .mv RARotaryMotor$_nc83_nc86$true 3 CWise Stop CCWise .names RARotaryMotor$_nc83_nc86$true Stop # RAExtendUnLoadArm = 0 .mv RAExtendUnLoadArm$_nc83_nc87$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_nc83_nc87$true Extend # if/else (PressReadyToBeUnLoaded == 0) .mv RAExtendUnLoadArm$_nc83$raw_nc8c 3 Extend Retract Stop .names RAExtendUnLoadArm$_nc83_nc87$true RAExtendUnLoadArm _nc83 RAExtendUnLoadArm$_nc83$raw_nc8c - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_nc83_nc87$true .mv RARotaryMotor$_nc83$raw_nc8d 3 CWise Stop CCWise .names RARotaryMotor$_nc83_nc86$true RARotaryMotor _nc83 RARotaryMotor$_nc83$raw_nc8d - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_nc83_nc86$true .mv _nc8f 2 Y N .names _nc8f N # RALoadArmRetracted == 1 .names RALoadArmRetracted _nc8f _nc8e .def 0 - =RALoadArmRetracted 1 .mv _nc91 2 Y N .names _nc91 N # RALoadArmExtended == 1 .names RALoadArmExtended _nc91 _nc90 .def 0 - =RALoadArmExtended 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 .names _nc8e _nc90 _nc92 .def 0 1 1 1 .mv _nc94 2 Y N .names _nc94 Y # RAUnLoadArmRetracted == 0 .names RAUnLoadArmRetracted _nc94 _nc93 .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 .names _nc92 _nc93 _nc95 .def 0 1 1 1 .mv _nc97 3 Extend Retract Stop .names _nc97 Extend # RAExtendLoadArm == 0 .names RAExtendLoadArm _nc97 _nc96 .def 0 - =RAExtendLoadArm 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 0 .names _nc95 _nc96 _nc98 .def 0 1 1 1 .mv _nc9a 3 Extend Retract Stop .names _nc9a Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm _nc9a _nc99 .def 0 - =RAExtendUnLoadArm 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 0 && RAExtendUnLoadArm == 2 .names _nc98 _nc99 _nc9b .def 0 1 1 1 .mv _nc9d 3 CWise Stop CCWise .names _nc9d Stop # RARotaryMotor == 1 .names RARotaryMotor _nc9d _nc9c .def 0 - =RARotaryMotor 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 0 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1 .names _nc9b _nc9c _nc9e .def 0 1 1 1 .names _nc9e _nc9f - =_nc9e # RAExtendLoadArm = 1 .mv RAExtendLoadArm$_nc9e_nca0$true 3 Extend Retract Stop .names RAExtendLoadArm$_nc9e_nca0$true Retract # LoadArmLoaded = 0 .mv LoadArmLoaded$_nc9e_nca1$true 2 Y N .names LoadArmLoaded$_nc9e_nca1$true Y # PieceGrabbedFromRT = 0 .mv PieceGrabbedFromRT$_nc9e_nca2$true 2 Y N .names PieceGrabbedFromRT$_nc9e_nca2$true Y # if/else (RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 0 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1) .mv RAExtendLoadArm$_nc9e$raw_nca9 3 Extend Retract Stop .names RAExtendLoadArm$_nc9e_nca0$true RAExtendLoadArm _nc9e RAExtendLoadArm$_nc9e$raw_nca9 - - 0 =RAExtendLoadArm - - 1 =RAExtendLoadArm$_nc9e_nca0$true .mv PieceGrabbedFromRT$_nc9e$raw_ncaa 2 Y N .names PieceGrabbedFromRT$_nc9e_nca2$true PieceGrabbedFromRT _nc9e PieceGrabbedFromRT$_nc9e$raw_ncaa - - 0 =PieceGrabbedFromRT - - 1 =PieceGrabbedFromRT$_nc9e_nca2$true .mv LoadArmLoaded$_nc9e$raw_ncab 2 Y N .names LoadArmLoaded$_nc9e_nca1$true LoadArmLoaded _nc9e LoadArmLoaded$_nc9e$raw_ncab - - 0 =LoadArmLoaded - - 1 =LoadArmLoaded$_nc9e_nca1$true # if/else (RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 2) .mv RAExtendUnLoadArm$_nc81$raw_ncb0 3 Extend Retract Stop .names RAExtendUnLoadArm$_nc83$raw_nc8c RAExtendUnLoadArm _nc81 RAExtendUnLoadArm$_nc81$raw_ncb0 - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_nc83$raw_nc8c .mv RARotaryMotor$_nc81$raw_ncb1 3 CWise Stop CCWise .names RARotaryMotor$_nc83$raw_nc8d RARotaryMotor _nc81 RARotaryMotor$_nc81$raw_ncb1 - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_nc83$raw_nc8d .mv RAExtendLoadArm$_nc81$raw_ncb2 3 Extend Retract Stop .names RAExtendLoadArm RAExtendLoadArm$_nc9e$raw_nca9 _nc81 RAExtendLoadArm$_nc81$raw_ncb2 - - 0 =RAExtendLoadArm$_nc9e$raw_nca9 - - 1 =RAExtendLoadArm .mv PieceGrabbedFromRT$_nc81$raw_ncb3 2 Y N .names PieceGrabbedFromRT PieceGrabbedFromRT$_nc9e$raw_ncaa _nc81 PieceGrabbedFromRT$_nc81$raw_ncb3 - - 0 =PieceGrabbedFromRT$_nc9e$raw_ncaa - - 1 =PieceGrabbedFromRT .mv LoadArmLoaded$_nc81$raw_ncb4 2 Y N .names LoadArmLoaded LoadArmLoaded$_nc9e$raw_ncab _nc81 LoadArmLoaded$_nc81$raw_ncb4 - - 0 =LoadArmLoaded$_nc9e$raw_ncab - - 1 =LoadArmLoaded # if/else (RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1) .mv RARotaryMotor$_nc63$raw_ncb7 3 CWise Stop CCWise .names RARotaryMotor$_nc65$raw_nc73 RARotaryMotor$_nc81$raw_ncb1 _nc63 RARotaryMotor$_nc63$raw_ncb7 - - 0 =RARotaryMotor$_nc81$raw_ncb1 - - 1 =RARotaryMotor$_nc65$raw_nc73 .mv RAExtendLoadArm$_nc63$raw_ncb8 3 Extend Retract Stop .names RAExtendLoadArm$_nc65$raw_nc72 RAExtendLoadArm$_nc81$raw_ncb2 _nc63 RAExtendLoadArm$_nc63$raw_ncb8 - - 0 =RAExtendLoadArm$_nc81$raw_ncb2 - - 1 =RAExtendLoadArm$_nc65$raw_nc72 .mv RAExtendUnLoadArm$_nc63$raw_ncbb 3 Extend Retract Stop .names RAExtendUnLoadArm RAExtendUnLoadArm$_nc81$raw_ncb0 _nc63 RAExtendUnLoadArm$_nc63$raw_ncbb - - 0 =RAExtendUnLoadArm$_nc81$raw_ncb0 - - 1 =RAExtendUnLoadArm .mv PieceGrabbedFromRT$_nc63$raw_ncbe 2 Y N .names PieceGrabbedFromRT PieceGrabbedFromRT$_nc81$raw_ncb3 _nc63 PieceGrabbedFromRT$_nc63$raw_ncbe - - 0 =PieceGrabbedFromRT$_nc81$raw_ncb3 - - 1 =PieceGrabbedFromRT .mv LoadArmLoaded$_nc63$raw_ncbf 2 Y N .names LoadArmLoaded LoadArmLoaded$_nc81$raw_ncb4 _nc63 LoadArmLoaded$_nc63$raw_ncbf - - 0 =LoadArmLoaded$_nc81$raw_ncb4 - - 1 =LoadArmLoaded # if/else (RAArmOverRT == 0) .mv RAExtendUnLoadArm$_nc53$raw_ncca 3 Extend Retract Stop .names RAExtendUnLoadArm$_nc63$raw_ncbb RAExtendUnLoadArm _nc53 RAExtendUnLoadArm$_nc53$raw_ncca - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_nc63$raw_ncbb .mv RARotaryMotor$_nc53$raw_nccb 3 CWise Stop CCWise .names RARotaryMotor$_nc63$raw_ncb7 RARotaryMotor _nc53 RARotaryMotor$_nc53$raw_nccb - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_nc63$raw_ncb7 .mv RAExtendLoadArm$_nc53$raw_nccc 3 Extend Retract Stop .names RAExtendLoadArm$_nc63$raw_ncb8 RAExtendLoadArm _nc53 RAExtendLoadArm$_nc53$raw_nccc - - 0 =RAExtendLoadArm - - 1 =RAExtendLoadArm$_nc63$raw_ncb8 .mv PieceGrabbedFromRT$_nc53$raw_nccd 2 Y N .names PieceGrabbedFromRT$_nc63$raw_ncbe PieceGrabbedFromRT _nc53 PieceGrabbedFromRT$_nc53$raw_nccd - - 0 =PieceGrabbedFromRT - - 1 =PieceGrabbedFromRT$_nc63$raw_ncbe .mv LoadArmLoaded$_nc53$raw_ncce 2 Y N .names LoadArmLoaded$_nc63$raw_ncbf LoadArmLoaded _nc53 LoadArmLoaded$_nc53$raw_ncce - - 0 =LoadArmLoaded - - 1 =LoadArmLoaded$_nc63$raw_ncbf .mv _ncd0 2 Y N .names _ncd0 Y # RAArmOverLoadedPress == 0 .names RAArmOverLoadedPress _ncd0 _nccf .def 0 - =RAArmOverLoadedPress 1 .names _nccf _ncd1 - =_nccf .mv _ncd3 2 Y N .names _ncd3 Y # RALoadArmRetracted == 0 .names RALoadArmRetracted _ncd3 _ncd2 .def 0 - =RALoadArmRetracted 1 .mv _ncd5 2 Y N .names _ncd5 Y # RAUnLoadArmRetracted == 0 .names RAUnLoadArmRetracted _ncd5 _ncd4 .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 .names _ncd2 _ncd4 _ncd6 .def 0 1 1 1 .mv _ncd8 3 Extend Retract Stop .names _ncd8 Stop # RAExtendLoadArm == 2 .names RAExtendLoadArm$_nc53$raw_nccc _ncd8 _ncd7 .def 0 - =RAExtendLoadArm$_nc53$raw_nccc 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 .names _ncd6 _ncd7 _ncd9 .def 0 1 1 1 .mv _ncdb 3 Extend Retract Stop .names _ncdb Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm$_nc53$raw_ncca _ncdb _ncda .def 0 - =RAExtendUnLoadArm$_nc53$raw_ncca 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 .names _ncd9 _ncda _ncdc .def 0 1 1 1 .mv _ncde 3 CWise Stop CCWise .names _ncde Stop # RARotaryMotor == 1 .names RARotaryMotor$_nc53$raw_nccb _ncde _ncdd .def 0 - =RARotaryMotor$_nc53$raw_nccb 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1 .names _ncdc _ncdd _ncdf .def 0 1 1 1 .names _ncdf _nce0 - =_ncdf # RARotaryMotor = 0 .mv RARotaryMotor$_ncdf_nce1$true 3 CWise Stop CCWise .names RARotaryMotor$_ncdf_nce1$true CWise # if/else (RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1) .mv RARotaryMotor$_ncdf$raw_nce3 3 CWise Stop CCWise .names RARotaryMotor$_ncdf_nce1$true RARotaryMotor$_nc53$raw_nccb _ncdf RARotaryMotor$_ncdf$raw_nce3 - - 0 =RARotaryMotor$_nc53$raw_nccb - - 1 =RARotaryMotor$_ncdf_nce1$true .mv _ncf7 2 Y N .names _ncf7 N # RALoadArmRetracted == 1 .names RALoadArmRetracted _ncf7 _ncf6 .def 0 - =RALoadArmRetracted 1 .mv _ncf9 2 Y N .names _ncf9 N # RALoadArmExtended == 1 .names RALoadArmExtended _ncf9 _ncf8 .def 0 - =RALoadArmExtended 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 .names _ncf6 _ncf8 _ncfa .def 0 1 1 1 .mv _ncfc 2 Y N .names _ncfc Y # RAUnLoadArmRetracted == 0 .names RAUnLoadArmRetracted _ncfc _ncfb .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 .names _ncfa _ncfb _ncfd .def 0 1 1 1 .mv _ncff 3 Extend Retract Stop .names _ncff Retract # RAExtendLoadArm == 1 .names RAExtendLoadArm$_nc53$raw_nccc _ncff _ncfe .def 0 - =RAExtendLoadArm$_nc53$raw_nccc 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 1 .names _ncfd _ncfe _nd00 .def 0 1 1 1 .mv _nd02 3 Extend Retract Stop .names _nd02 Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm$_nc53$raw_ncca _nd02 _nd01 .def 0 - =RAExtendUnLoadArm$_nc53$raw_ncca 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 1 && RAExtendUnLoadArm == 2 .names _nd00 _nd01 _nd03 .def 0 1 1 1 .mv _nd05 3 CWise Stop CCWise .names _nd05 Stop # RARotaryMotor == 1 .names RARotaryMotor$_ncdf$raw_nce3 _nd05 _nd04 .def 0 - =RARotaryMotor$_ncdf$raw_nce3 1 # RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 1 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1 .names _nd03 _nd04 _nd06 .def 0 1 1 1 .names _nd06 _nd07 - =_nd06 # RAExtendLoadArm = 2 .mv RAExtendLoadArm$_nd06_nd08$true 3 Extend Retract Stop .names RAExtendLoadArm$_nd06_nd08$true Stop # RARotaryMotor = 0 .mv RARotaryMotor$_nd06_nd09$true 3 CWise Stop CCWise .names RARotaryMotor$_nd06_nd09$true CWise # if/else (RALoadArmRetracted == 1 && RALoadArmExtended == 1 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 1 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1) .mv RARotaryMotor$_nd06$raw_nd0b 3 CWise Stop CCWise .names RARotaryMotor$_nd06_nd09$true RARotaryMotor$_ncdf$raw_nce3 _nd06 RARotaryMotor$_nd06$raw_nd0b - - 0 =RARotaryMotor$_ncdf$raw_nce3 - - 1 =RARotaryMotor$_nd06_nd09$true .mv RAExtendLoadArm$_nd06$raw_nd0c 3 Extend Retract Stop .names RAExtendLoadArm$_nd06_nd08$true RAExtendLoadArm$_nc53$raw_nccc _nd06 RAExtendLoadArm$_nd06$raw_nd0c - - 0 =RAExtendLoadArm$_nc53$raw_nccc - - 1 =RAExtendLoadArm$_nd06_nd08$true # if/else (RAArmOverLoadedPress == 0) .mv RARotaryMotor$_nccf$raw_nd1f 3 CWise Stop CCWise .names RARotaryMotor$_nd06$raw_nd0b RARotaryMotor$_nc53$raw_nccb _nccf RARotaryMotor$_nccf$raw_nd1f - - 0 =RARotaryMotor$_nc53$raw_nccb - - 1 =RARotaryMotor$_nd06$raw_nd0b .mv RAExtendLoadArm$_nccf$raw_nd20 3 Extend Retract Stop .names RAExtendLoadArm$_nd06$raw_nd0c RAExtendLoadArm$_nc53$raw_nccc _nccf RAExtendLoadArm$_nccf$raw_nd20 - - 0 =RAExtendLoadArm$_nc53$raw_nccc - - 1 =RAExtendLoadArm$_nd06$raw_nd0c .mv _nd33 2 Y N .names _nd33 Y # RAArmOverUnLoadedPress == 0 .names RAArmOverUnLoadedPress _nd33 _nd32 .def 0 - =RAArmOverUnLoadedPress 1 .names _nd32 _nd34 - =_nd32 .mv _nd36 2 Y N .names _nd36 Y # RALoadArmRetracted == 0 .names RALoadArmRetracted _nd36 _nd35 .def 0 - =RALoadArmRetracted 1 .mv _nd38 2 Y N .names _nd38 Y # RAUnLoadArmRetracted == 0 .names RAUnLoadArmRetracted _nd38 _nd37 .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 .names _nd35 _nd37 _nd39 .def 0 1 1 1 .mv _nd3b 3 Extend Retract Stop .names _nd3b Stop # RAExtendLoadArm == 2 .names RAExtendLoadArm$_nccf$raw_nd20 _nd3b _nd3a .def 0 - =RAExtendLoadArm$_nccf$raw_nd20 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 .names _nd39 _nd3a _nd3c .def 0 1 1 1 .mv _nd3e 3 Extend Retract Stop .names _nd3e Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm$_nc53$raw_ncca _nd3e _nd3d .def 0 - =RAExtendUnLoadArm$_nc53$raw_ncca 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 .names _nd3c _nd3d _nd3f .def 0 1 1 1 .mv _nd41 3 CWise Stop CCWise .names _nd41 Stop # RARotaryMotor == 1 .names RARotaryMotor$_nccf$raw_nd1f _nd41 _nd40 .def 0 - =RARotaryMotor$_nccf$raw_nd1f 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1 .names _nd3f _nd40 _nd42 .def 0 1 1 1 .names _nd42 _nd43 - =_nd42 .mv _nd45 2 Y N .names _nd45 Y # PressReadyToBeUnLoaded == 0 .names PressReadyToBeUnLoaded _nd45 _nd44 .def 0 - =PressReadyToBeUnLoaded 1 .names _nd44 _nd46 - =_nd44 # RAExtendLoadArm = 0 .mv RAExtendLoadArm$_nd44_nd47$true 3 Extend Retract Stop .names RAExtendLoadArm$_nd44_nd47$true Extend # RARotaryMotor = 0 .mv RARotaryMotor$_nd44_nd48$false 3 CWise Stop CCWise .names RARotaryMotor$_nd44_nd48$false CWise # if/else (PressReadyToBeUnLoaded == 0) .mv RARotaryMotor$_nd44$raw_nd4a 3 CWise Stop CCWise .names RARotaryMotor$_nccf$raw_nd1f RARotaryMotor$_nd44_nd48$false _nd44 RARotaryMotor$_nd44$raw_nd4a - - 0 =RARotaryMotor$_nd44_nd48$false - - 1 =RARotaryMotor$_nccf$raw_nd1f .mv RAExtendLoadArm$_nd44$raw_nd4b 3 Extend Retract Stop .names RAExtendLoadArm$_nd44_nd47$true RAExtendLoadArm$_nccf$raw_nd20 _nd44 RAExtendLoadArm$_nd44$raw_nd4b - - 0 =RAExtendLoadArm$_nccf$raw_nd20 - - 1 =RAExtendLoadArm$_nd44_nd47$true .mv _nd5e 2 Y N .names _nd5e Y # RALoadArmRetracted == 0 .names RALoadArmRetracted _nd5e _nd5d .def 0 - =RALoadArmRetracted 1 .mv _nd60 2 Y N .names _nd60 Y # RAUnLoadArmRetracted == 0 .names RAUnLoadArmRetracted _nd60 _nd5f .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 .names _nd5d _nd5f _nd61 .def 0 1 1 1 .mv _nd63 3 Extend Retract Stop .names _nd63 Stop # RAExtendLoadArm == 2 .names RAExtendLoadArm$_nccf$raw_nd20 _nd63 _nd62 .def 0 - =RAExtendLoadArm$_nccf$raw_nd20 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 .names _nd61 _nd62 _nd64 .def 0 1 1 1 .mv _nd66 3 Extend Retract Stop .names _nd66 Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm$_nc53$raw_ncca _nd66 _nd65 .def 0 - =RAExtendUnLoadArm$_nc53$raw_ncca 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 .names _nd64 _nd65 _nd67 .def 0 1 1 1 .mv _nd69 3 CWise Stop CCWise .names _nd69 CWise # RARotaryMotor == 0 .names RARotaryMotor$_nccf$raw_nd1f _nd69 _nd68 .def 0 - =RARotaryMotor$_nccf$raw_nd1f 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 0 .names _nd67 _nd68 _nd6a .def 0 1 1 1 .names _nd6a _nd6b - =_nd6a # RARotaryMotor = 1 .mv RARotaryMotor$_nd6a_nd6c$true 3 CWise Stop CCWise .names RARotaryMotor$_nd6a_nd6c$true Stop .mv _nd6e 2 Y N .names _nd6e Y # RTOutReady == 0 .names RTOutReady _nd6e _nd6d .def 0 - =RTOutReady 1 .names _nd6d _nd6f - =_nd6d # RAExtendLoadArm = 0 .mv RAExtendLoadArm$_nd6d_nd70$true 3 Extend Retract Stop .names RAExtendLoadArm$_nd6d_nd70$true Extend # if/else (RTOutReady == 0) .mv RAExtendLoadArm$_nd6d$raw_nd73 3 Extend Retract Stop .names RAExtendLoadArm$_nd6d_nd70$true RAExtendLoadArm$_nccf$raw_nd20 _nd6d RAExtendLoadArm$_nd6d$raw_nd73 - - 0 =RAExtendLoadArm$_nccf$raw_nd20 - - 1 =RAExtendLoadArm$_nd6d_nd70$true .mv _nd86 2 Y N .names _nd86 Y # RALoadArmRetracted == 0 .names RALoadArmRetracted _nd86 _nd85 .def 0 - =RALoadArmRetracted 1 .mv _nd88 2 Y N .names _nd88 N # RAUnLoadArmRetracted == 1 .names RAUnLoadArmRetracted _nd88 _nd87 .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 1 .names _nd85 _nd87 _nd89 .def 0 1 1 1 .mv _nd8b 2 Y N .names _nd8b N # RAUnLoadArmExtended == 1 .names RAUnLoadArmExtended _nd8b _nd8a .def 0 - =RAUnLoadArmExtended 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 1 && RAUnLoadArmExtended == 1 .names _nd89 _nd8a _nd8c .def 0 1 1 1 .mv _nd8e 3 Extend Retract Stop .names _nd8e Stop # RAExtendLoadArm == 2 .names RAExtendLoadArm$_nccf$raw_nd20 _nd8e _nd8d .def 0 - =RAExtendLoadArm$_nccf$raw_nd20 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 1 && RAUnLoadArmExtended == 1 && RAExtendLoadArm == 2 .names _nd8c _nd8d _nd8f .def 0 1 1 1 .mv _nd91 3 Extend Retract Stop .names _nd91 Extend # RAExtendUnLoadArm == 0 .names RAExtendUnLoadArm$_nc53$raw_ncca _nd91 _nd90 .def 0 - =RAExtendUnLoadArm$_nc53$raw_ncca 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 1 && RAUnLoadArmExtended == 1 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 0 .names _nd8f _nd90 _nd92 .def 0 1 1 1 .mv _nd94 3 CWise Stop CCWise .names _nd94 Stop # RARotaryMotor == 1 .names RARotaryMotor$_nccf$raw_nd1f _nd94 _nd93 .def 0 - =RARotaryMotor$_nccf$raw_nd1f 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 1 && RAUnLoadArmExtended == 1 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 0 && RARotaryMotor == 1 .names _nd92 _nd93 _nd95 .def 0 1 1 1 .names _nd95 _nd96 - =_nd95 # RAExtendUnLoadArm = 1 .mv RAExtendUnLoadArm$_nd95_nd97$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_nd95_nd97$true Retract # ArmUnLoadedPress = 0 .mv ArmUnLoadedPress$_nd95_nd98$true 2 Y N .names ArmUnLoadedPress$_nd95_nd98$true Y # UnLoadArmLoaded = 0 .mv UnLoadArmLoaded$_nd95_nd99$true 2 Y N .names UnLoadArmLoaded$_nd95_nd99$true Y # if/else (RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 1 && RAUnLoadArmExtended == 1 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 0 && RARotaryMotor == 1) .mv RAExtendUnLoadArm$_nd95$raw_nd9b 3 Extend Retract Stop .names RAExtendUnLoadArm$_nd95_nd97$true RAExtendUnLoadArm$_nc53$raw_ncca _nd95 RAExtendUnLoadArm$_nd95$raw_nd9b - - 0 =RAExtendUnLoadArm$_nc53$raw_ncca - - 1 =RAExtendUnLoadArm$_nd95_nd97$true .mv UnLoadArmLoaded$_nd95$raw_nda8 2 Y N .names UnLoadArmLoaded$_nd95_nd99$true UnLoadArmLoaded _nd95 UnLoadArmLoaded$_nd95$raw_nda8 - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_nd95_nd99$true .mv ArmUnLoadedPress$_nd95$raw_ndaa 2 Y N .names ArmUnLoadedPress$_nd95_nd98$true ArmUnLoadedPress _nd95 ArmUnLoadedPress$_nd95$raw_ndaa - - 0 =ArmUnLoadedPress - - 1 =ArmUnLoadedPress$_nd95_nd98$true # if/else (RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 0) .mv RAExtendUnLoadArm$_nd6a$raw_ndb4 3 Extend Retract Stop .names RAExtendUnLoadArm$_nc53$raw_ncca RAExtendUnLoadArm$_nd95$raw_nd9b _nd6a RAExtendUnLoadArm$_nd6a$raw_ndb4 - - 0 =RAExtendUnLoadArm$_nd95$raw_nd9b - - 1 =RAExtendUnLoadArm$_nc53$raw_ncca .mv RARotaryMotor$_nd6a$raw_ndb5 3 CWise Stop CCWise .names RARotaryMotor$_nd6a_nd6c$true RARotaryMotor$_nccf$raw_nd1f _nd6a RARotaryMotor$_nd6a$raw_ndb5 - - 0 =RARotaryMotor$_nccf$raw_nd1f - - 1 =RARotaryMotor$_nd6a_nd6c$true .mv RAExtendLoadArm$_nd6a$raw_ndb6 3 Extend Retract Stop .names RAExtendLoadArm$_nd6d$raw_nd73 RAExtendLoadArm$_nccf$raw_nd20 _nd6a RAExtendLoadArm$_nd6a$raw_ndb6 - - 0 =RAExtendLoadArm$_nccf$raw_nd20 - - 1 =RAExtendLoadArm$_nd6d$raw_nd73 .mv UnLoadArmLoaded$_nd6a$raw_ndc3 2 Y N .names UnLoadArmLoaded UnLoadArmLoaded$_nd95$raw_nda8 _nd6a UnLoadArmLoaded$_nd6a$raw_ndc3 - - 0 =UnLoadArmLoaded$_nd95$raw_nda8 - - 1 =UnLoadArmLoaded .mv ArmUnLoadedPress$_nd6a$raw_ndc5 2 Y N .names ArmUnLoadedPress ArmUnLoadedPress$_nd95$raw_ndaa _nd6a ArmUnLoadedPress$_nd6a$raw_ndc5 - - 0 =ArmUnLoadedPress$_nd95$raw_ndaa - - 1 =ArmUnLoadedPress # if/else (RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1) .mv RAExtendUnLoadArm$_nd42$raw_ndca 3 Extend Retract Stop .names RAExtendUnLoadArm$_nc53$raw_ncca RAExtendUnLoadArm$_nd6a$raw_ndb4 _nd42 RAExtendUnLoadArm$_nd42$raw_ndca - - 0 =RAExtendUnLoadArm$_nd6a$raw_ndb4 - - 1 =RAExtendUnLoadArm$_nc53$raw_ncca .mv RARotaryMotor$_nd42$raw_ndcb 3 CWise Stop CCWise .names RARotaryMotor$_nd44$raw_nd4a RARotaryMotor$_nd6a$raw_ndb5 _nd42 RARotaryMotor$_nd42$raw_ndcb - - 0 =RARotaryMotor$_nd6a$raw_ndb5 - - 1 =RARotaryMotor$_nd44$raw_nd4a .mv RAExtendLoadArm$_nd42$raw_ndcc 3 Extend Retract Stop .names RAExtendLoadArm$_nd44$raw_nd4b RAExtendLoadArm$_nd6a$raw_ndb6 _nd42 RAExtendLoadArm$_nd42$raw_ndcc - - 0 =RAExtendLoadArm$_nd6a$raw_ndb6 - - 1 =RAExtendLoadArm$_nd44$raw_nd4b .mv UnLoadArmLoaded$_nd42$raw_ndd9 2 Y N .names UnLoadArmLoaded UnLoadArmLoaded$_nd6a$raw_ndc3 _nd42 UnLoadArmLoaded$_nd42$raw_ndd9 - - 0 =UnLoadArmLoaded$_nd6a$raw_ndc3 - - 1 =UnLoadArmLoaded .mv ArmUnLoadedPress$_nd42$raw_nddb 2 Y N .names ArmUnLoadedPress ArmUnLoadedPress$_nd6a$raw_ndc5 _nd42 ArmUnLoadedPress$_nd42$raw_nddb - - 0 =ArmUnLoadedPress$_nd6a$raw_ndc5 - - 1 =ArmUnLoadedPress # if/else (RAArmOverUnLoadedPress == 0) .mv RAExtendUnLoadArm$_nd32$raw_nde1 3 Extend Retract Stop .names RAExtendUnLoadArm$_nd42$raw_ndca RAExtendUnLoadArm$_nc53$raw_ncca _nd32 RAExtendUnLoadArm$_nd32$raw_nde1 - - 0 =RAExtendUnLoadArm$_nc53$raw_ncca - - 1 =RAExtendUnLoadArm$_nd42$raw_ndca .mv RARotaryMotor$_nd32$raw_nde3 3 CWise Stop CCWise .names RARotaryMotor$_nd42$raw_ndcb RARotaryMotor$_nccf$raw_nd1f _nd32 RARotaryMotor$_nd32$raw_nde3 - - 0 =RARotaryMotor$_nccf$raw_nd1f - - 1 =RARotaryMotor$_nd42$raw_ndcb .mv RAExtendLoadArm$_nd32$raw_nde4 3 Extend Retract Stop .names RAExtendLoadArm$_nd42$raw_ndcc RAExtendLoadArm$_nccf$raw_nd20 _nd32 RAExtendLoadArm$_nd32$raw_nde4 - - 0 =RAExtendLoadArm$_nccf$raw_nd20 - - 1 =RAExtendLoadArm$_nd42$raw_ndcc .mv UnLoadArmLoaded$_nd32$raw_ndee 2 Y N .names UnLoadArmLoaded$_nd42$raw_ndd9 UnLoadArmLoaded _nd32 UnLoadArmLoaded$_nd32$raw_ndee - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_nd42$raw_ndd9 .mv ArmUnLoadedPress$_nd32$raw_ndf0 2 Y N .names ArmUnLoadedPress$_nd42$raw_nddb ArmUnLoadedPress _nd32 ArmUnLoadedPress$_nd32$raw_ndf0 - - 0 =ArmUnLoadedPress - - 1 =ArmUnLoadedPress$_nd42$raw_nddb .mv _ndfb 2 Y N .names _ndfb Y # RAArmOverDB == 0 .names RAArmOverDB _ndfb _ndfa .def 0 - =RAArmOverDB 1 .names _ndfa _ndfc - =_ndfa .mv _ndfe 2 Y N .names _ndfe Y # RALoadArmRetracted == 0 .names RALoadArmRetracted _ndfe _ndfd .def 0 - =RALoadArmRetracted 1 .mv _ne00 2 Y N .names _ne00 Y # RAUnLoadArmRetracted == 0 .names RAUnLoadArmRetracted _ne00 _ndff .def 0 - =RAUnLoadArmRetracted 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 .names _ndfd _ndff _ne01 .def 0 1 1 1 .mv _ne03 3 Extend Retract Stop .names _ne03 Stop # RAExtendLoadArm == 2 .names RAExtendLoadArm$_nd32$raw_nde4 _ne03 _ne02 .def 0 - =RAExtendLoadArm$_nd32$raw_nde4 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 .names _ne01 _ne02 _ne04 .def 0 1 1 1 .mv _ne06 3 Extend Retract Stop .names _ne06 Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm$_nd32$raw_nde1 _ne06 _ne05 .def 0 - =RAExtendUnLoadArm$_nd32$raw_nde1 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 .names _ne04 _ne05 _ne07 .def 0 1 1 1 .mv _ne09 3 CWise Stop CCWise .names _ne09 Stop # RARotaryMotor == 1 .names RARotaryMotor$_nd32$raw_nde3 _ne09 _ne08 .def 0 - =RARotaryMotor$_nd32$raw_nde3 1 # RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1 .names _ne07 _ne08 _ne0a .def 0 1 1 1 .names _ne0a _ne0b - =_ne0a # RARotaryMotor = 0 .mv RARotaryMotor$_ne0a_ne0c$true 3 CWise Stop CCWise .names RARotaryMotor$_ne0a_ne0c$true CWise # if/else (RALoadArmRetracted == 0 && RAUnLoadArmRetracted == 0 && RAExtendLoadArm == 2 && RAExtendUnLoadArm == 2 && RARotaryMotor == 1) .mv RARotaryMotor$_ne0a$raw_ne10 3 CWise Stop CCWise .names RARotaryMotor$_ne0a_ne0c$true RARotaryMotor$_nd32$raw_nde3 _ne0a RARotaryMotor$_ne0a$raw_ne10 - - 0 =RARotaryMotor$_nd32$raw_nde3 - - 1 =RARotaryMotor$_ne0a_ne0c$true .mv _ne2a 3 Extend Retract Stop .names _ne2a Retract # RAExtendUnLoadArm == 1 .names RAExtendUnLoadArm$_nd32$raw_nde1 _ne2a _ne29 .def 0 - =RAExtendUnLoadArm$_nd32$raw_nde1 1 .mv _ne2c 2 Y N .names _ne2c N # RAUnLoadArmExtended == 1 .names RAUnLoadArmExtended _ne2c _ne2b .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 .names _ne29 _ne2b _ne2d .def 0 1 1 1 .mv _ne2f 2 Y N .names _ne2f N # RAUnLoadArmRetracted == 1 .names RAUnLoadArmRetracted _ne2f _ne2e .def 0 - =RAUnLoadArmRetracted 1 # RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1 .names _ne2d _ne2e _ne30 .def 0 1 1 1 .names _ne30 _ne31 - =_ne30 # RAExtendUnLoadArm = 2 .mv RAExtendUnLoadArm$_ne30_ne32$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_ne30_ne32$true Stop # RARotaryMotor = 0 .mv RARotaryMotor$_ne30_ne33$true 3 CWise Stop CCWise .names RARotaryMotor$_ne30_ne33$true CWise # if/else (RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1) .mv RAExtendUnLoadArm$_ne30$raw_ne35 3 Extend Retract Stop .names RAExtendUnLoadArm$_ne30_ne32$true RAExtendUnLoadArm$_nd32$raw_nde1 _ne30 RAExtendUnLoadArm$_ne30$raw_ne35 - - 0 =RAExtendUnLoadArm$_nd32$raw_nde1 - - 1 =RAExtendUnLoadArm$_ne30_ne32$true .mv RARotaryMotor$_ne30$raw_ne37 3 CWise Stop CCWise .names RARotaryMotor$_ne30_ne33$true RARotaryMotor$_ne0a$raw_ne10 _ne30 RARotaryMotor$_ne30$raw_ne37 - - 0 =RARotaryMotor$_ne0a$raw_ne10 - - 1 =RARotaryMotor$_ne30_ne33$true .mv _ne51 3 CWise Stop CCWise .names _ne51 CWise # RARotaryMotor == 0 .names RARotaryMotor$_ne30$raw_ne37 _ne51 _ne50 .def 0 - =RARotaryMotor$_ne30$raw_ne37 1 .names _ne50 _ne52 - =_ne50 .mv _ne54 2 Y N .names _ne54 Y # PressReadyToBeUnLoaded == 0 .names PressReadyToBeUnLoaded _ne54 _ne53 .def 0 - =PressReadyToBeUnLoaded 1 .mv _ne56 2 Y N .names _ne56 N # RTOutReady == 1 .names RTOutReady _ne56 _ne55 .def 0 - =RTOutReady 1 # PressReadyToBeUnLoaded == 0 && RTOutReady == 1 .names _ne53 _ne55 _ne57 .def 0 1 1 1 .names _ne57 _ne58 - =_ne57 # RARotaryMotor = 1 .mv RARotaryMotor$_ne57_ne59$true 3 CWise Stop CCWise .names RARotaryMotor$_ne57_ne59$true Stop # RAExtendUnLoadArm = 0 .mv RAExtendUnLoadArm$_ne57_ne5a$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_ne57_ne5a$true Extend # if/else (PressReadyToBeUnLoaded == 0 && RTOutReady == 1) .mv RAExtendUnLoadArm$_ne57$raw_ne5b 3 Extend Retract Stop .names RAExtendUnLoadArm$_ne57_ne5a$true RAExtendUnLoadArm$_ne30$raw_ne35 _ne57 RAExtendUnLoadArm$_ne57$raw_ne5b - - 0 =RAExtendUnLoadArm$_ne30$raw_ne35 - - 1 =RAExtendUnLoadArm$_ne57_ne5a$true .mv RARotaryMotor$_ne57$raw_ne5d 3 CWise Stop CCWise .names RARotaryMotor$_ne57_ne59$true RARotaryMotor$_ne30$raw_ne37 _ne57 RARotaryMotor$_ne57$raw_ne5d - - 0 =RARotaryMotor$_ne30$raw_ne37 - - 1 =RARotaryMotor$_ne57_ne59$true # if/else (RARotaryMotor == 0) .mv RAExtendUnLoadArm$_ne50$raw_ne78 3 Extend Retract Stop .names RAExtendUnLoadArm$_ne57$raw_ne5b RAExtendUnLoadArm$_ne30$raw_ne35 _ne50 RAExtendUnLoadArm$_ne50$raw_ne78 - - 0 =RAExtendUnLoadArm$_ne30$raw_ne35 - - 1 =RAExtendUnLoadArm$_ne57$raw_ne5b .mv RARotaryMotor$_ne50$raw_ne7a 3 CWise Stop CCWise .names RARotaryMotor$_ne57$raw_ne5d RARotaryMotor$_ne30$raw_ne37 _ne50 RARotaryMotor$_ne50$raw_ne7a - - 0 =RARotaryMotor$_ne30$raw_ne37 - - 1 =RARotaryMotor$_ne57$raw_ne5d # if/else (RAArmOverDB == 0) .mv RAExtendUnLoadArm$_ndfa$raw_ne93 3 Extend Retract Stop .names RAExtendUnLoadArm$_ne50$raw_ne78 RAExtendUnLoadArm$_nd32$raw_nde1 _ndfa RAExtendUnLoadArm$_ndfa$raw_ne93 - - 0 =RAExtendUnLoadArm$_nd32$raw_nde1 - - 1 =RAExtendUnLoadArm$_ne50$raw_ne78 .mv RARotaryMotor$_ndfa$raw_ne95 3 CWise Stop CCWise .names RARotaryMotor$_ne50$raw_ne7a RARotaryMotor$_nd32$raw_nde3 _ndfa RARotaryMotor$_ndfa$raw_ne95 - - 0 =RARotaryMotor$_nd32$raw_nde3 - - 1 =RARotaryMotor$_ne50$raw_ne7a .mv _neb0 2 Y N .names _neb0 N # LoadArmLoaded == 1 .names LoadArmLoaded _neb0 _neaf .def 0 - =LoadArmLoaded 1 .mv _neb2 2 Y N .names _neb2 Y # UnLoadArmLoaded == 0 .names UnLoadArmLoaded _neb2 _neb1 .def 0 - =UnLoadArmLoaded 1 # LoadArmLoaded == 1 && UnLoadArmLoaded == 0 .names _neaf _neb1 _neb3 .def 0 1 1 1 .names _neb3 _neb4 - =_neb3 .mv _neb6 2 Y N .names _neb6 Y # RAArmOverUnLoadedPress == 0 .names RAArmOverUnLoadedPress _neb6 _neb5 .def 0 - =RAArmOverUnLoadedPress 1 .names _neb5 _neb7 - =_neb5 .mv _neb9 3 CWise Stop CCWise .names _neb9 CCWise # RARotaryMotor == 2 .names RARotaryMotor _neb9 _neb8 .def 0 - =RARotaryMotor 1 .names _neb8 _neba - =_neb8 # RARotaryMotor = 1 .mv RARotaryMotor$_neb8_nebb$true 3 CWise Stop CCWise .names RARotaryMotor$_neb8_nebb$true Stop # RAExtendUnLoadArm = 0 .mv RAExtendUnLoadArm$_neb8_nebc$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_neb8_nebc$true Extend # if/else (RARotaryMotor == 2) .mv RAExtendUnLoadArm$_neb8$raw_nec1 3 Extend Retract Stop .names RAExtendUnLoadArm$_neb8_nebc$true RAExtendUnLoadArm _neb8 RAExtendUnLoadArm$_neb8$raw_nec1 - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_neb8_nebc$true .mv RARotaryMotor$_neb8$raw_nec2 3 CWise Stop CCWise .names RARotaryMotor$_neb8_nebb$true RARotaryMotor _neb8 RARotaryMotor$_neb8$raw_nec2 - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_neb8_nebb$true .mv _nec4 3 Extend Retract Stop .names _nec4 Retract # RAExtendUnLoadArm == 1 .names RAExtendUnLoadArm$_neb8$raw_nec1 _nec4 _nec3 .def 0 - =RAExtendUnLoadArm$_neb8$raw_nec1 1 .mv _nec6 2 Y N .names _nec6 N # RAUnLoadArmExtended == 1 .names RAUnLoadArmExtended _nec6 _nec5 .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 .names _nec3 _nec5 _nec7 .def 0 1 1 1 .mv _nec9 2 Y N .names _nec9 N # RAUnLoadArmRetracted == 1 .names RAUnLoadArmRetracted _nec9 _nec8 .def 0 - =RAUnLoadArmRetracted 1 # RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1 .names _nec7 _nec8 _neca .def 0 1 1 1 .names _neca _necb - =_neca # RAExtendUnLoadArm = 2 .mv RAExtendUnLoadArm$_neca_necc$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_neca_necc$true Stop # RARotaryMotor = 2 .mv RARotaryMotor$_neca_necd$true 3 CWise Stop CCWise .names RARotaryMotor$_neca_necd$true CCWise # if/else (RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1) .mv RAExtendUnLoadArm$_neca$raw_nece 3 Extend Retract Stop .names RAExtendUnLoadArm$_neca_necc$true RAExtendUnLoadArm$_neb8$raw_nec1 _neca RAExtendUnLoadArm$_neca$raw_nece - - 0 =RAExtendUnLoadArm$_neb8$raw_nec1 - - 1 =RAExtendUnLoadArm$_neca_necc$true .mv RARotaryMotor$_neca$raw_necf 3 CWise Stop CCWise .names RARotaryMotor$_neca_necd$true RARotaryMotor$_neb8$raw_nec2 _neca RARotaryMotor$_neca$raw_necf - - 0 =RARotaryMotor$_neb8$raw_nec2 - - 1 =RARotaryMotor$_neca_necd$true # if/else (RAArmOverUnLoadedPress == 0) .mv RAExtendUnLoadArm$_neb5$raw_neda 3 Extend Retract Stop .names RAExtendUnLoadArm$_neca$raw_nece RAExtendUnLoadArm _neb5 RAExtendUnLoadArm$_neb5$raw_neda - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_neca$raw_nece .mv RARotaryMotor$_neb5$raw_nedb 3 CWise Stop CCWise .names RARotaryMotor$_neca$raw_necf RARotaryMotor _neb5 RARotaryMotor$_neb5$raw_nedb - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_neca$raw_necf .mv _nedd 2 Y N .names _nedd Y # RAArmOverDB == 0 .names RAArmOverDB _nedd _nedc .def 0 - =RAArmOverDB 1 .names _nedc _nede - =_nedc .mv _nee0 3 Extend Retract Stop .names _nee0 Extend # RAExtendUnLoadArm == 0 .names RAExtendUnLoadArm$_neb5$raw_neda _nee0 _nedf .def 0 - =RAExtendUnLoadArm$_neb5$raw_neda 1 .mv _nee2 2 Y N .names _nee2 N # RAUnLoadArmExtended == 1 .names RAUnLoadArmExtended _nee2 _nee1 .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 .names _nedf _nee1 _nee3 .def 0 1 1 1 .mv _nee5 2 Y N .names _nee5 N # RAUnLoadArmRetracted == 1 .names RAUnLoadArmRetracted _nee5 _nee4 .def 0 - =RAUnLoadArmRetracted 1 # RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1 .names _nee3 _nee4 _nee6 .def 0 1 1 1 .names _nee6 _nee7 - =_nee6 .mv _nee9 2 Y N .names _nee9 Y # DBReady == 0 .names DBReady _nee9 _nee8 .def 0 - =DBReady 1 .names _nee8 _neea - =_nee8 # RAExtendUnLoadArm = 1 .mv RAExtendUnLoadArm$_nee8_neeb$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_nee8_neeb$true Retract # PieceOutArm = 0 .mv PieceOutArm$_nee8_neec$true 2 Y N .names PieceOutArm$_nee8_neec$true Y # UnLoadArmLoaded = 1 .mv UnLoadArmLoaded$_nee8_need$true 2 Y N .names UnLoadArmLoaded$_nee8_need$true N # RAExtendUnLoadArm = 2 .mv RAExtendUnLoadArm$_nee8_neee$false 3 Extend Retract Stop .names RAExtendUnLoadArm$_nee8_neee$false Stop # if/else (DBReady == 0) .mv RAExtendUnLoadArm$_nee8$raw_nef0 3 Extend Retract Stop .names RAExtendUnLoadArm$_nee8_neeb$true RAExtendUnLoadArm$_nee8_neee$false _nee8 RAExtendUnLoadArm$_nee8$raw_nef0 - - 0 =RAExtendUnLoadArm$_nee8_neee$false - - 1 =RAExtendUnLoadArm$_nee8_neeb$true .mv UnLoadArmLoaded$_nee8$raw_nef7 2 Y N .names UnLoadArmLoaded$_nee8_need$true UnLoadArmLoaded _nee8 UnLoadArmLoaded$_nee8$raw_nef7 - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_nee8_need$true .mv PieceOutArm$_nee8$raw_nefa 2 Y N .names PieceOutArm$_nee8_neec$true PieceOutArm _nee8 PieceOutArm$_nee8$raw_nefa - - 0 =PieceOutArm - - 1 =PieceOutArm$_nee8_neec$true # if/else (RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1) .mv RAExtendUnLoadArm$_nee6$raw_nefe 3 Extend Retract Stop .names RAExtendUnLoadArm$_nee8$raw_nef0 RAExtendUnLoadArm$_neb5$raw_neda _nee6 RAExtendUnLoadArm$_nee6$raw_nefe - - 0 =RAExtendUnLoadArm$_neb5$raw_neda - - 1 =RAExtendUnLoadArm$_nee8$raw_nef0 .mv UnLoadArmLoaded$_nee6$raw_nf05 2 Y N .names UnLoadArmLoaded$_nee8$raw_nef7 UnLoadArmLoaded _nee6 UnLoadArmLoaded$_nee6$raw_nf05 - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_nee8$raw_nef7 .mv PieceOutArm$_nee6$raw_nf08 2 Y N .names PieceOutArm$_nee8$raw_nefa PieceOutArm _nee6 PieceOutArm$_nee6$raw_nf08 - - 0 =PieceOutArm - - 1 =PieceOutArm$_nee8$raw_nefa .mv _nf0c 3 Extend Retract Stop .names _nf0c Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm$_nee6$raw_nefe _nf0c _nf0b .def 0 - =RAExtendUnLoadArm$_nee6$raw_nefe 1 .mv _nf0e 2 Y N .names _nf0e Y # RAUnLoadArmExtended == 0 .names RAUnLoadArmExtended _nf0e _nf0d .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 2 && RAUnLoadArmExtended == 0 .names _nf0b _nf0d _nf0f .def 0 1 1 1 .mv _nf11 2 Y N .names _nf11 Y # DBReady == 0 .names DBReady _nf11 _nf10 .def 0 - =DBReady 1 # RAExtendUnLoadArm == 2 && RAUnLoadArmExtended == 0 && DBReady == 0 .names _nf0f _nf10 _nf12 .def 0 1 1 1 .names _nf12 _nf13 - =_nf12 # RAExtendUnLoadArm = 1 .mv RAExtendUnLoadArm$_nf12_nf14$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_nf12_nf14$true Retract # PieceOutArm = 0 .mv PieceOutArm$_nf12_nf15$true 2 Y N .names PieceOutArm$_nf12_nf15$true Y # UnLoadArmLoaded = 1 .mv UnLoadArmLoaded$_nf12_nf16$true 2 Y N .names UnLoadArmLoaded$_nf12_nf16$true N # if/else (RAExtendUnLoadArm == 2 && RAUnLoadArmExtended == 0 && DBReady == 0) .mv RAExtendUnLoadArm$_nf12$raw_nf17 3 Extend Retract Stop .names RAExtendUnLoadArm$_nf12_nf14$true RAExtendUnLoadArm$_nee6$raw_nefe _nf12 RAExtendUnLoadArm$_nf12$raw_nf17 - - 0 =RAExtendUnLoadArm$_nee6$raw_nefe - - 1 =RAExtendUnLoadArm$_nf12_nf14$true .mv UnLoadArmLoaded$_nf12$raw_nf18 2 Y N .names UnLoadArmLoaded$_nf12_nf16$true UnLoadArmLoaded$_nee6$raw_nf05 _nf12 UnLoadArmLoaded$_nf12$raw_nf18 - - 0 =UnLoadArmLoaded$_nee6$raw_nf05 - - 1 =UnLoadArmLoaded$_nf12_nf16$true .mv PieceOutArm$_nf12$raw_nf1a 2 Y N .names PieceOutArm$_nf12_nf15$true PieceOutArm$_nee6$raw_nf08 _nf12 PieceOutArm$_nf12$raw_nf1a - - 0 =PieceOutArm$_nee6$raw_nf08 - - 1 =PieceOutArm$_nf12_nf15$true # if/else (RAArmOverDB == 0) .mv RAExtendUnLoadArm$_nedc$raw_nf28 3 Extend Retract Stop .names RAExtendUnLoadArm$_nf12$raw_nf17 RAExtendUnLoadArm$_neb5$raw_neda _nedc RAExtendUnLoadArm$_nedc$raw_nf28 - - 0 =RAExtendUnLoadArm$_neb5$raw_neda - - 1 =RAExtendUnLoadArm$_nf12$raw_nf17 .mv UnLoadArmLoaded$_nedc$raw_nf2f 2 Y N .names UnLoadArmLoaded$_nf12$raw_nf18 UnLoadArmLoaded _nedc UnLoadArmLoaded$_nedc$raw_nf2f - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_nf12$raw_nf18 .mv PieceOutArm$_nedc$raw_nf32 2 Y N .names PieceOutArm$_nf12$raw_nf1a PieceOutArm _nedc PieceOutArm$_nedc$raw_nf32 - - 0 =PieceOutArm - - 1 =PieceOutArm$_nf12$raw_nf1a .mv _nf36 2 Y N .names _nf36 Y # LoadArmLoaded == 0 .names LoadArmLoaded _nf36 _nf35 .def 0 - =LoadArmLoaded 1 .mv _nf38 2 Y N .names _nf38 N # UnLoadArmLoaded == 1 .names UnLoadArmLoaded _nf38 _nf37 .def 0 - =UnLoadArmLoaded 1 # LoadArmLoaded == 0 && UnLoadArmLoaded == 1 .names _nf35 _nf37 _nf39 .def 0 1 1 1 .names _nf39 _nf3a - =_nf39 .mv _nf3c 2 Y N .names _nf3c Y # RAArmOverRT == 0 .names RAArmOverRT _nf3c _nf3b .def 0 - =RAArmOverRT 1 .names _nf3b _nf3d - =_nf3b .mv _nf3f 3 CWise Stop CCWise .names _nf3f CCWise # RARotaryMotor == 2 .names RARotaryMotor _nf3f _nf3e .def 0 - =RARotaryMotor 1 .names _nf3e _nf40 - =_nf3e .mv _nf42 2 Y N .names _nf42 Y # PressReadyToBeUnLoaded == 0 .names PressReadyToBeUnLoaded _nf42 _nf41 .def 0 - =PressReadyToBeUnLoaded 1 .names _nf41 _nf43 - =_nf41 # RARotaryMotor = 1 .mv RARotaryMotor$_nf41_nf44$true 3 CWise Stop CCWise .names RARotaryMotor$_nf41_nf44$true Stop # RAExtendUnLoadArm = 0 .mv RAExtendUnLoadArm$_nf41_nf45$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_nf41_nf45$true Extend # if/else (PressReadyToBeUnLoaded == 0) .mv RAExtendUnLoadArm$_nf41$raw_nf4a 3 Extend Retract Stop .names RAExtendUnLoadArm$_nf41_nf45$true RAExtendUnLoadArm _nf41 RAExtendUnLoadArm$_nf41$raw_nf4a - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_nf41_nf45$true .mv RARotaryMotor$_nf41$raw_nf4b 3 CWise Stop CCWise .names RARotaryMotor$_nf41_nf44$true RARotaryMotor _nf41 RARotaryMotor$_nf41$raw_nf4b - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_nf41_nf44$true # if/else (RARotaryMotor == 2) .mv RAExtendUnLoadArm$_nf3e$raw_nf50 3 Extend Retract Stop .names RAExtendUnLoadArm$_nf41$raw_nf4a RAExtendUnLoadArm _nf3e RAExtendUnLoadArm$_nf3e$raw_nf50 - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_nf41$raw_nf4a .mv RARotaryMotor$_nf3e$raw_nf51 3 CWise Stop CCWise .names RARotaryMotor$_nf41$raw_nf4b RARotaryMotor _nf3e RARotaryMotor$_nf3e$raw_nf51 - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_nf41$raw_nf4b .mv _nf53 3 Extend Retract Stop .names _nf53 Retract # RAExtendLoadArm == 1 .names RAExtendLoadArm _nf53 _nf52 .def 0 - =RAExtendLoadArm 1 .mv _nf55 2 Y N .names _nf55 N # RALoadArmExtended == 1 .names RALoadArmExtended _nf55 _nf54 .def 0 - =RALoadArmExtended 1 # RAExtendLoadArm == 1 && RALoadArmExtended == 1 .names _nf52 _nf54 _nf56 .def 0 1 1 1 .mv _nf58 2 Y N .names _nf58 N # RALoadArmRetracted == 1 .names RALoadArmRetracted _nf58 _nf57 .def 0 - =RALoadArmRetracted 1 # RAExtendLoadArm == 1 && RALoadArmExtended == 1 && RALoadArmRetracted == 1 .names _nf56 _nf57 _nf59 .def 0 1 1 1 .names _nf59 _nf5a - =_nf59 # RAExtendLoadArm = 2 .mv RAExtendLoadArm$_nf59_nf5b$true 3 Extend Retract Stop .names RAExtendLoadArm$_nf59_nf5b$true Stop # RARotaryMotor = 2 .mv RARotaryMotor$_nf59_nf5c$true 3 CWise Stop CCWise .names RARotaryMotor$_nf59_nf5c$true CCWise # if/else (RAExtendLoadArm == 1 && RALoadArmExtended == 1 && RALoadArmRetracted == 1) .mv RARotaryMotor$_nf59$raw_nf5e 3 CWise Stop CCWise .names RARotaryMotor$_nf59_nf5c$true RARotaryMotor$_nf3e$raw_nf51 _nf59 RARotaryMotor$_nf59$raw_nf5e - - 0 =RARotaryMotor$_nf3e$raw_nf51 - - 1 =RARotaryMotor$_nf59_nf5c$true .mv RAExtendLoadArm$_nf59$raw_nf65 3 Extend Retract Stop .names RAExtendLoadArm$_nf59_nf5b$true RAExtendLoadArm _nf59 RAExtendLoadArm$_nf59$raw_nf65 - - 0 =RAExtendLoadArm - - 1 =RAExtendLoadArm$_nf59_nf5b$true # if/else (RAArmOverRT == 0) .mv RAExtendUnLoadArm$_nf3b$raw_nf6e 3 Extend Retract Stop .names RAExtendUnLoadArm$_nf3e$raw_nf50 RAExtendUnLoadArm _nf3b RAExtendUnLoadArm$_nf3b$raw_nf6e - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_nf3e$raw_nf50 .mv RARotaryMotor$_nf3b$raw_nf6f 3 CWise Stop CCWise .names RARotaryMotor$_nf59$raw_nf5e RARotaryMotor _nf3b RARotaryMotor$_nf3b$raw_nf6f - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_nf59$raw_nf5e .mv RAExtendLoadArm$_nf3b$raw_nf70 3 Extend Retract Stop .names RAExtendLoadArm$_nf59$raw_nf65 RAExtendLoadArm _nf3b RAExtendLoadArm$_nf3b$raw_nf70 - - 0 =RAExtendLoadArm - - 1 =RAExtendLoadArm$_nf59$raw_nf65 .mv _nf72 2 Y N .names _nf72 Y # RAArmOverLoadedPress == 0 .names RAArmOverLoadedPress _nf72 _nf71 .def 0 - =RAArmOverLoadedPress 1 .names _nf71 _nf73 - =_nf71 .mv _nf75 3 Extend Retract Stop .names _nf75 Stop # RAExtendLoadArm == 2 .names RAExtendLoadArm$_nf3b$raw_nf70 _nf75 _nf74 .def 0 - =RAExtendLoadArm$_nf3b$raw_nf70 1 .mv _nf77 3 CWise Stop CCWise .names _nf77 Stop # RARotaryMotor == 1 .names RARotaryMotor$_nf3b$raw_nf6f _nf77 _nf76 .def 0 - =RARotaryMotor$_nf3b$raw_nf6f 1 # RAExtendLoadArm == 2 && RARotaryMotor == 1 .names _nf74 _nf76 _nf78 .def 0 1 1 1 .mv _nf7a 3 Extend Retract Stop .names _nf7a Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm$_nf3b$raw_nf6e _nf7a _nf79 .def 0 - =RAExtendUnLoadArm$_nf3b$raw_nf6e 1 # RAExtendLoadArm == 2 && RARotaryMotor == 1 && RAExtendUnLoadArm == 2 .names _nf78 _nf79 _nf7b .def 0 1 1 1 .mv _nf7d 2 Y N .names _nf7d Y # RALoadArmRetracted == 0 .names RALoadArmRetracted _nf7d _nf7c .def 0 - =RALoadArmRetracted 1 # RAExtendLoadArm == 2 && RARotaryMotor == 1 && RAExtendUnLoadArm == 2 && RALoadArmRetracted == 0 .names _nf7b _nf7c _nf7e .def 0 1 1 1 .names _nf7e _nf7f - =_nf7e .mv _nf81 2 Y N .names _nf81 Y # PressReadyToBeLoaded == 0 .names PressReadyToBeLoaded _nf81 _nf80 .def 0 - =PressReadyToBeLoaded 1 .names _nf80 _nf82 - =_nf80 # RAExtendLoadArm = 0 .mv RAExtendLoadArm$_nf80_nf83$true 3 Extend Retract Stop .names RAExtendLoadArm$_nf80_nf83$true Extend # if/else (PressReadyToBeLoaded == 0) .mv RAExtendLoadArm$_nf80$raw_nf86 3 Extend Retract Stop .names RAExtendLoadArm$_nf80_nf83$true RAExtendLoadArm$_nf3b$raw_nf70 _nf80 RAExtendLoadArm$_nf80$raw_nf86 - - 0 =RAExtendLoadArm$_nf3b$raw_nf70 - - 1 =RAExtendLoadArm$_nf80_nf83$true # if/else (RAExtendLoadArm == 2 && RARotaryMotor == 1 && RAExtendUnLoadArm == 2 && RALoadArmRetracted == 0) .mv RAExtendLoadArm$_nf7e$raw_nf92 3 Extend Retract Stop .names RAExtendLoadArm$_nf80$raw_nf86 RAExtendLoadArm$_nf3b$raw_nf70 _nf7e RAExtendLoadArm$_nf7e$raw_nf92 - - 0 =RAExtendLoadArm$_nf3b$raw_nf70 - - 1 =RAExtendLoadArm$_nf80$raw_nf86 .mv _nf9d 3 Extend Retract Stop .names _nf9d Extend # RAExtendLoadArm == 0 .names RAExtendLoadArm$_nf7e$raw_nf92 _nf9d _nf9c .def 0 - =RAExtendLoadArm$_nf7e$raw_nf92 1 .mv _nf9f 2 Y N .names _nf9f N # RALoadArmExtended == 1 .names RALoadArmExtended _nf9f _nf9e .def 0 - =RALoadArmExtended 1 # RAExtendLoadArm == 0 && RALoadArmExtended == 1 .names _nf9c _nf9e _nfa0 .def 0 1 1 1 .mv _nfa2 2 Y N .names _nfa2 N # RALoadArmRetracted == 1 .names RALoadArmRetracted _nfa2 _nfa1 .def 0 - =RALoadArmRetracted 1 # RAExtendLoadArm == 0 && RALoadArmExtended == 1 && RALoadArmRetracted == 1 .names _nfa0 _nfa1 _nfa3 .def 0 1 1 1 .names _nfa3 _nfa4 - =_nfa3 # RAExtendLoadArm = 1 .mv RAExtendLoadArm$_nfa3_nfa5$true 3 Extend Retract Stop .names RAExtendLoadArm$_nfa3_nfa5$true Retract # ArmLoadedPress = 0 .mv ArmLoadedPress$_nfa3_nfa6$true 2 Y N .names ArmLoadedPress$_nfa3_nfa6$true Y # LoadArmLoaded = 1 .mv LoadArmLoaded$_nfa3_nfa7$true 2 Y N .names LoadArmLoaded$_nfa3_nfa7$true N # if/else (RAExtendLoadArm == 0 && RALoadArmExtended == 1 && RALoadArmRetracted == 1) .mv RAExtendLoadArm$_nfa3$raw_nfab 3 Extend Retract Stop .names RAExtendLoadArm$_nfa3_nfa5$true RAExtendLoadArm$_nf7e$raw_nf92 _nfa3 RAExtendLoadArm$_nfa3$raw_nfab - - 0 =RAExtendLoadArm$_nf7e$raw_nf92 - - 1 =RAExtendLoadArm$_nfa3_nfa5$true .mv ArmLoadedPress$_nfa3$raw_nfb2 2 Y N .names ArmLoadedPress$_nfa3_nfa6$true ArmLoadedPress _nfa3 ArmLoadedPress$_nfa3$raw_nfb2 - - 0 =ArmLoadedPress - - 1 =ArmLoadedPress$_nfa3_nfa6$true .mv LoadArmLoaded$_nfa3$raw_nfb6 2 Y N .names LoadArmLoaded$_nfa3_nfa7$true LoadArmLoaded _nfa3 LoadArmLoaded$_nfa3$raw_nfb6 - - 0 =LoadArmLoaded - - 1 =LoadArmLoaded$_nfa3_nfa7$true # if/else (RAArmOverLoadedPress == 0) .mv RAExtendLoadArm$_nf71$raw_nfbd 3 Extend Retract Stop .names RAExtendLoadArm$_nfa3$raw_nfab RAExtendLoadArm$_nf3b$raw_nf70 _nf71 RAExtendLoadArm$_nf71$raw_nfbd - - 0 =RAExtendLoadArm$_nf3b$raw_nf70 - - 1 =RAExtendLoadArm$_nfa3$raw_nfab .mv ArmLoadedPress$_nf71$raw_nfc4 2 Y N .names ArmLoadedPress$_nfa3$raw_nfb2 ArmLoadedPress _nf71 ArmLoadedPress$_nf71$raw_nfc4 - - 0 =ArmLoadedPress - - 1 =ArmLoadedPress$_nfa3$raw_nfb2 .mv LoadArmLoaded$_nf71$raw_nfc8 2 Y N .names LoadArmLoaded$_nfa3$raw_nfb6 LoadArmLoaded _nf71 LoadArmLoaded$_nf71$raw_nfc8 - - 0 =LoadArmLoaded - - 1 =LoadArmLoaded$_nfa3$raw_nfb6 .mv _nfcd 2 Y N .names _nfcd Y # RAArmOverUnLoadedPress == 0 .names RAArmOverUnLoadedPress _nfcd _nfcc .def 0 - =RAArmOverUnLoadedPress 1 .names _nfcc _nfce - =_nfcc .mv _nfd0 3 Extend Retract Stop .names _nfd0 Extend # RAExtendUnLoadArm == 0 .names RAExtendUnLoadArm$_nf3b$raw_nf6e _nfd0 _nfcf .def 0 - =RAExtendUnLoadArm$_nf3b$raw_nf6e 1 .mv _nfd2 2 Y N .names _nfd2 N # RAUnLoadArmExtended == 1 .names RAUnLoadArmExtended _nfd2 _nfd1 .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 .names _nfcf _nfd1 _nfd3 .def 0 1 1 1 .mv _nfd5 2 Y N .names _nfd5 N # RAUnLoadArmRetracted == 1 .names RAUnLoadArmRetracted _nfd5 _nfd4 .def 0 - =RAUnLoadArmRetracted 1 # RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1 .names _nfd3 _nfd4 _nfd6 .def 0 1 1 1 .names _nfd6 _nfd7 - =_nfd6 .mv _nfd9 2 Y N .names _nfd9 Y # PressReadyToBeUnLoaded == 0 .names PressReadyToBeUnLoaded _nfd9 _nfd8 .def 0 - =PressReadyToBeUnLoaded 1 .names _nfd8 _nfda - =_nfd8 # ArmUnLoadedPress = 0 .mv ArmUnLoadedPress$_nfd8_nfdb$true 2 Y N .names ArmUnLoadedPress$_nfd8_nfdb$true Y # UnLoadArmLoaded = 0 .mv UnLoadArmLoaded$_nfd8_nfdc$true 2 Y N .names UnLoadArmLoaded$_nfd8_nfdc$true Y # RAExtendUnLoadArm = 1 .mv RAExtendUnLoadArm$_nfd8_nfdd$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_nfd8_nfdd$true Retract # if/else (PressReadyToBeUnLoaded == 0) .mv RAExtendUnLoadArm$_nfd8$raw_nfdf 3 Extend Retract Stop .names RAExtendUnLoadArm$_nfd8_nfdd$true RAExtendUnLoadArm$_nf3b$raw_nf6e _nfd8 RAExtendUnLoadArm$_nfd8$raw_nfdf - - 0 =RAExtendUnLoadArm$_nf3b$raw_nf6e - - 1 =RAExtendUnLoadArm$_nfd8_nfdd$true .mv UnLoadArmLoaded$_nfd8$raw_nfec 2 Y N .names UnLoadArmLoaded$_nfd8_nfdc$true UnLoadArmLoaded _nfd8 UnLoadArmLoaded$_nfd8$raw_nfec - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_nfd8_nfdc$true .mv ArmUnLoadedPress$_nfd8$raw_nfef 2 Y N .names ArmUnLoadedPress$_nfd8_nfdb$true ArmUnLoadedPress _nfd8 ArmUnLoadedPress$_nfd8$raw_nfef - - 0 =ArmUnLoadedPress - - 1 =ArmUnLoadedPress$_nfd8_nfdb$true # if/else (RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1) .mv RAExtendUnLoadArm$_nfd6$raw_nffa 3 Extend Retract Stop .names RAExtendUnLoadArm$_nfd8$raw_nfdf RAExtendUnLoadArm$_nf3b$raw_nf6e _nfd6 RAExtendUnLoadArm$_nfd6$raw_nffa - - 0 =RAExtendUnLoadArm$_nf3b$raw_nf6e - - 1 =RAExtendUnLoadArm$_nfd8$raw_nfdf .mv UnLoadArmLoaded$_nfd6$raw_n1006 2 Y N .names UnLoadArmLoaded$_nfd8$raw_nfec UnLoadArmLoaded _nfd6 UnLoadArmLoaded$_nfd6$raw_n1006 - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_nfd8$raw_nfec .mv ArmUnLoadedPress$_nfd6$raw_n1009 2 Y N .names ArmUnLoadedPress$_nfd8$raw_nfef ArmUnLoadedPress _nfd6 ArmUnLoadedPress$_nfd6$raw_n1009 - - 0 =ArmUnLoadedPress - - 1 =ArmUnLoadedPress$_nfd8$raw_nfef # if/else (RAArmOverUnLoadedPress == 0) .mv RAExtendUnLoadArm$_nfcc$raw_n1013 3 Extend Retract Stop .names RAExtendUnLoadArm$_nfd6$raw_nffa RAExtendUnLoadArm$_nf3b$raw_nf6e _nfcc RAExtendUnLoadArm$_nfcc$raw_n1013 - - 0 =RAExtendUnLoadArm$_nf3b$raw_nf6e - - 1 =RAExtendUnLoadArm$_nfd6$raw_nffa .mv UnLoadArmLoaded$_nfcc$raw_n1020 2 Y N .names UnLoadArmLoaded$_nfd6$raw_n1006 UnLoadArmLoaded _nfcc UnLoadArmLoaded$_nfcc$raw_n1020 - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_nfd6$raw_n1006 .mv ArmUnLoadedPress$_nfcc$raw_n1023 2 Y N .names ArmUnLoadedPress$_nfd6$raw_n1009 ArmUnLoadedPress _nfcc ArmUnLoadedPress$_nfcc$raw_n1023 - - 0 =ArmUnLoadedPress - - 1 =ArmUnLoadedPress$_nfd6$raw_n1009 .mv _n102d 2 Y N .names _n102d Y # RAArmOverDB == 0 .names RAArmOverDB _n102d _n102c .def 0 - =RAArmOverDB 1 .names _n102c _n102e - =_n102c .mv _n1030 3 CWise Stop CCWise .names _n1030 CCWise # RARotaryMotor == 2 .names RARotaryMotor$_nf3b$raw_nf6f _n1030 _n102f .def 0 - =RARotaryMotor$_nf3b$raw_nf6f 1 .names _n102f _n1031 - =_n102f # RARotaryMotor = 1 .mv RARotaryMotor$_n102f_n1032$true 3 CWise Stop CCWise .names RARotaryMotor$_n102f_n1032$true Stop .mv _n1034 2 Y N .names _n1034 Y # PressReadyToBeLoaded == 0 .names PressReadyToBeLoaded _n1034 _n1033 .def 0 - =PressReadyToBeLoaded 1 .names _n1033 _n1035 - =_n1033 # RAExtendLoadArm = 0 .mv RAExtendLoadArm$_n1033_n1036$true 3 Extend Retract Stop .names RAExtendLoadArm$_n1033_n1036$true Extend # if/else (PressReadyToBeLoaded == 0) .mv RAExtendLoadArm$_n1033$raw_n103c 3 Extend Retract Stop .names RAExtendLoadArm$_n1033_n1036$true RAExtendLoadArm$_nf71$raw_nfbd _n1033 RAExtendLoadArm$_n1033$raw_n103c - - 0 =RAExtendLoadArm$_nf71$raw_nfbd - - 1 =RAExtendLoadArm$_n1033_n1036$true # if/else (RARotaryMotor == 2) .mv RARotaryMotor$_n102f$raw_n1057 3 CWise Stop CCWise .names RARotaryMotor$_n102f_n1032$true RARotaryMotor$_nf3b$raw_nf6f _n102f RARotaryMotor$_n102f$raw_n1057 - - 0 =RARotaryMotor$_nf3b$raw_nf6f - - 1 =RARotaryMotor$_n102f_n1032$true .mv RAExtendLoadArm$_n102f$raw_n1058 3 Extend Retract Stop .names RAExtendLoadArm$_n1033$raw_n103c RAExtendLoadArm$_nf71$raw_nfbd _n102f RAExtendLoadArm$_n102f$raw_n1058 - - 0 =RAExtendLoadArm$_nf71$raw_nfbd - - 1 =RAExtendLoadArm$_n1033$raw_n103c .mv _n1070 3 Extend Retract Stop .names _n1070 Retract # RAExtendUnLoadArm == 1 .names RAExtendUnLoadArm$_nfcc$raw_n1013 _n1070 _n106f .def 0 - =RAExtendUnLoadArm$_nfcc$raw_n1013 1 .mv _n1072 2 Y N .names _n1072 N # RAUnLoadArmExtended == 1 .names RAUnLoadArmExtended _n1072 _n1071 .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 .names _n106f _n1071 _n1073 .def 0 1 1 1 .mv _n1075 2 Y N .names _n1075 N # RAUnLoadArmRetracted == 1 .names RAUnLoadArmRetracted _n1075 _n1074 .def 0 - =RAUnLoadArmRetracted 1 # RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1 .names _n1073 _n1074 _n1076 .def 0 1 1 1 .names _n1076 _n1077 - =_n1076 # RAExtendUnLoadArm = 2 .mv RAExtendUnLoadArm$_n1076_n1078$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_n1076_n1078$true Stop # RARotaryMotor = 2 .mv RARotaryMotor$_n1076_n1079$true 3 CWise Stop CCWise .names RARotaryMotor$_n1076_n1079$true CCWise # if/else (RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1) .mv RAExtendUnLoadArm$_n1076$raw_n107c 3 Extend Retract Stop .names RAExtendUnLoadArm$_n1076_n1078$true RAExtendUnLoadArm$_nfcc$raw_n1013 _n1076 RAExtendUnLoadArm$_n1076$raw_n107c - - 0 =RAExtendUnLoadArm$_nfcc$raw_n1013 - - 1 =RAExtendUnLoadArm$_n1076_n1078$true .mv RARotaryMotor$_n1076$raw_n107e 3 CWise Stop CCWise .names RARotaryMotor$_n1076_n1079$true RARotaryMotor$_n102f$raw_n1057 _n1076 RARotaryMotor$_n1076$raw_n107e - - 0 =RARotaryMotor$_n102f$raw_n1057 - - 1 =RARotaryMotor$_n1076_n1079$true # if/else (RAArmOverDB == 0) .mv RAExtendUnLoadArm$_n102c$raw_n1096 3 Extend Retract Stop .names RAExtendUnLoadArm$_n1076$raw_n107c RAExtendUnLoadArm$_nfcc$raw_n1013 _n102c RAExtendUnLoadArm$_n102c$raw_n1096 - - 0 =RAExtendUnLoadArm$_nfcc$raw_n1013 - - 1 =RAExtendUnLoadArm$_n1076$raw_n107c .mv RARotaryMotor$_n102c$raw_n1099 3 CWise Stop CCWise .names RARotaryMotor$_n1076$raw_n107e RARotaryMotor$_nf3b$raw_nf6f _n102c RARotaryMotor$_n102c$raw_n1099 - - 0 =RARotaryMotor$_nf3b$raw_nf6f - - 1 =RARotaryMotor$_n1076$raw_n107e .mv RAExtendLoadArm$_n102c$raw_n109b 3 Extend Retract Stop .names RAExtendLoadArm$_n102f$raw_n1058 RAExtendLoadArm$_nf71$raw_nfbd _n102c RAExtendLoadArm$_n102c$raw_n109b - - 0 =RAExtendLoadArm$_nf71$raw_nfbd - - 1 =RAExtendLoadArm$_n102f$raw_n1058 .mv _n10b3 2 Y N .names _n10b3 Y # LoadArmLoaded == 0 .names LoadArmLoaded _n10b3 _n10b2 .def 0 - =LoadArmLoaded 1 .mv _n10b5 2 Y N .names _n10b5 Y # UnLoadArmLoaded == 0 .names UnLoadArmLoaded _n10b5 _n10b4 .def 0 - =UnLoadArmLoaded 1 # LoadArmLoaded == 0 && UnLoadArmLoaded == 0 .names _n10b2 _n10b4 _n10b6 .def 0 1 1 1 .names _n10b6 _n10b7 - =_n10b6 .mv _n10b9 2 Y N .names _n10b9 Y # RAArmOverUnLoadedPress == 0 .names RAArmOverUnLoadedPress _n10b9 _n10b8 .def 0 - =RAArmOverUnLoadedPress 1 .names _n10b8 _n10ba - =_n10b8 .mv _n10bc 3 CWise Stop CCWise .names _n10bc CCWise # RARotaryMotor == 2 .names RARotaryMotor _n10bc _n10bb .def 0 - =RARotaryMotor 1 .names _n10bb _n10bd - =_n10bb # RARotaryMotor = 1 .mv RARotaryMotor$_n10bb_n10be$true 3 CWise Stop CCWise .names RARotaryMotor$_n10bb_n10be$true Stop # RAExtendUnLoadArm = 0 .mv RAExtendUnLoadArm$_n10bb_n10bf$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10bb_n10bf$true Extend # if/else (RARotaryMotor == 2) .mv RAExtendUnLoadArm$_n10bb$raw_n10c4 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10bb_n10bf$true RAExtendUnLoadArm _n10bb RAExtendUnLoadArm$_n10bb$raw_n10c4 - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_n10bb_n10bf$true .mv RARotaryMotor$_n10bb$raw_n10c5 3 CWise Stop CCWise .names RARotaryMotor$_n10bb_n10be$true RARotaryMotor _n10bb RARotaryMotor$_n10bb$raw_n10c5 - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_n10bb_n10be$true .mv _n10c7 3 Extend Retract Stop .names _n10c7 Retract # RAExtendUnLoadArm == 1 .names RAExtendUnLoadArm$_n10bb$raw_n10c4 _n10c7 _n10c6 .def 0 - =RAExtendUnLoadArm$_n10bb$raw_n10c4 1 .mv _n10c9 2 Y N .names _n10c9 N # RAUnLoadArmExtended == 1 .names RAUnLoadArmExtended _n10c9 _n10c8 .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 .names _n10c6 _n10c8 _n10ca .def 0 1 1 1 .mv _n10cc 2 Y N .names _n10cc N # RAUnLoadArmRetracted == 1 .names RAUnLoadArmRetracted _n10cc _n10cb .def 0 - =RAUnLoadArmRetracted 1 # RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1 .names _n10ca _n10cb _n10cd .def 0 1 1 1 .names _n10cd _n10ce - =_n10cd # RAExtendUnLoadArm = 2 .mv RAExtendUnLoadArm$_n10cd_n10cf$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10cd_n10cf$true Stop # RARotaryMotor = 2 .mv RARotaryMotor$_n10cd_n10d0$true 3 CWise Stop CCWise .names RARotaryMotor$_n10cd_n10d0$true CCWise # if/else (RAExtendUnLoadArm == 1 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1) .mv RAExtendUnLoadArm$_n10cd$raw_n10d1 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10cd_n10cf$true RAExtendUnLoadArm$_n10bb$raw_n10c4 _n10cd RAExtendUnLoadArm$_n10cd$raw_n10d1 - - 0 =RAExtendUnLoadArm$_n10bb$raw_n10c4 - - 1 =RAExtendUnLoadArm$_n10cd_n10cf$true .mv RARotaryMotor$_n10cd$raw_n10d2 3 CWise Stop CCWise .names RARotaryMotor$_n10cd_n10d0$true RARotaryMotor$_n10bb$raw_n10c5 _n10cd RARotaryMotor$_n10cd$raw_n10d2 - - 0 =RARotaryMotor$_n10bb$raw_n10c5 - - 1 =RARotaryMotor$_n10cd_n10d0$true # if/else (RAArmOverUnLoadedPress == 0) .mv RAExtendUnLoadArm$_n10b8$raw_n10dd 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10cd$raw_n10d1 RAExtendUnLoadArm _n10b8 RAExtendUnLoadArm$_n10b8$raw_n10dd - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_n10cd$raw_n10d1 .mv RARotaryMotor$_n10b8$raw_n10de 3 CWise Stop CCWise .names RARotaryMotor$_n10cd$raw_n10d2 RARotaryMotor _n10b8 RARotaryMotor$_n10b8$raw_n10de - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_n10cd$raw_n10d2 .mv _n10e0 2 Y N .names _n10e0 Y # RAArmOverDB == 0 .names RAArmOverDB _n10e0 _n10df .def 0 - =RAArmOverDB 1 .names _n10df _n10e1 - =_n10df .mv _n10e3 3 Extend Retract Stop .names _n10e3 Extend # RAExtendUnLoadArm == 0 .names RAExtendUnLoadArm$_n10b8$raw_n10dd _n10e3 _n10e2 .def 0 - =RAExtendUnLoadArm$_n10b8$raw_n10dd 1 .mv _n10e5 2 Y N .names _n10e5 N # RAUnLoadArmExtended == 1 .names RAUnLoadArmExtended _n10e5 _n10e4 .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 .names _n10e2 _n10e4 _n10e6 .def 0 1 1 1 .mv _n10e8 2 Y N .names _n10e8 N # RAUnLoadArmRetracted == 1 .names RAUnLoadArmRetracted _n10e8 _n10e7 .def 0 - =RAUnLoadArmRetracted 1 # RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1 .names _n10e6 _n10e7 _n10e9 .def 0 1 1 1 .names _n10e9 _n10ea - =_n10e9 # RAExtendUnLoadArm = 2 .mv RAExtendUnLoadArm$_n10e9_n10eb$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10e9_n10eb$true Stop .mv _n10ed 2 Y N .names _n10ed Y # DBReady == 0 .names DBReady _n10ed _n10ec .def 0 - =DBReady 1 .names _n10ec _n10ee - =_n10ec # RAExtendUnLoadArm = 1 .mv RAExtendUnLoadArm$_n10ec_n10ef$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10ec_n10ef$true Retract # UnLoadArmLoaded = 1 .mv UnLoadArmLoaded$_n10ec_n10f0$true 2 Y N .names UnLoadArmLoaded$_n10ec_n10f0$true N # PieceOutArm = 0 .mv PieceOutArm$_n10ec_n10f1$true 2 Y N .names PieceOutArm$_n10ec_n10f1$true Y # if/else (DBReady == 0) .mv RAExtendUnLoadArm$_n10ec$raw_n10f3 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10ec_n10ef$true RAExtendUnLoadArm$_n10e9_n10eb$true _n10ec RAExtendUnLoadArm$_n10ec$raw_n10f3 - - 0 =RAExtendUnLoadArm$_n10e9_n10eb$true - - 1 =RAExtendUnLoadArm$_n10ec_n10ef$true .mv UnLoadArmLoaded$_n10ec$raw_n10fa 2 Y N .names UnLoadArmLoaded$_n10ec_n10f0$true UnLoadArmLoaded _n10ec UnLoadArmLoaded$_n10ec$raw_n10fa - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_n10ec_n10f0$true .mv PieceOutArm$_n10ec$raw_n10fd 2 Y N .names PieceOutArm$_n10ec_n10f1$true PieceOutArm _n10ec PieceOutArm$_n10ec$raw_n10fd - - 0 =PieceOutArm - - 1 =PieceOutArm$_n10ec_n10f1$true # if/else (RAExtendUnLoadArm == 0 && RAUnLoadArmExtended == 1 && RAUnLoadArmRetracted == 1) .mv RAExtendUnLoadArm$_n10e9$raw_n1101 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10ec$raw_n10f3 RAExtendUnLoadArm$_n10b8$raw_n10dd _n10e9 RAExtendUnLoadArm$_n10e9$raw_n1101 - - 0 =RAExtendUnLoadArm$_n10b8$raw_n10dd - - 1 =RAExtendUnLoadArm$_n10ec$raw_n10f3 .mv UnLoadArmLoaded$_n10e9$raw_n1108 2 Y N .names UnLoadArmLoaded$_n10ec$raw_n10fa UnLoadArmLoaded _n10e9 UnLoadArmLoaded$_n10e9$raw_n1108 - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_n10ec$raw_n10fa .mv PieceOutArm$_n10e9$raw_n110b 2 Y N .names PieceOutArm$_n10ec$raw_n10fd PieceOutArm _n10e9 PieceOutArm$_n10e9$raw_n110b - - 0 =PieceOutArm - - 1 =PieceOutArm$_n10ec$raw_n10fd .mv _n110f 3 Extend Retract Stop .names _n110f Stop # RAExtendUnLoadArm == 2 .names RAExtendUnLoadArm$_n10e9$raw_n1101 _n110f _n110e .def 0 - =RAExtendUnLoadArm$_n10e9$raw_n1101 1 .mv _n1111 2 Y N .names _n1111 Y # RAUnLoadArmExtended == 0 .names RAUnLoadArmExtended _n1111 _n1110 .def 0 - =RAUnLoadArmExtended 1 # RAExtendUnLoadArm == 2 && RAUnLoadArmExtended == 0 .names _n110e _n1110 _n1112 .def 0 1 1 1 .names _n1112 _n1113 - =_n1112 .mv _n1115 2 Y N .names _n1115 Y # DBReady == 0 .names DBReady _n1115 _n1114 .def 0 - =DBReady 1 .names _n1114 _n1116 - =_n1114 # RAExtendUnLoadArm = 1 .mv RAExtendUnLoadArm$_n1114_n1117$true 3 Extend Retract Stop .names RAExtendUnLoadArm$_n1114_n1117$true Retract # UnLoadArmLoaded = 1 .mv UnLoadArmLoaded$_n1114_n1118$true 2 Y N .names UnLoadArmLoaded$_n1114_n1118$true N # PieceOutArm = 0 .mv PieceOutArm$_n1114_n1119$true 2 Y N .names PieceOutArm$_n1114_n1119$true Y # if/else (DBReady == 0) .mv UnLoadArmLoaded$_n1114$raw_n111a 2 Y N .names UnLoadArmLoaded$_n1114_n1118$true UnLoadArmLoaded$_n10e9$raw_n1108 _n1114 UnLoadArmLoaded$_n1114$raw_n111a - - 0 =UnLoadArmLoaded$_n10e9$raw_n1108 - - 1 =UnLoadArmLoaded$_n1114_n1118$true .mv RAExtendUnLoadArm$_n1114$raw_n111b 3 Extend Retract Stop .names RAExtendUnLoadArm$_n1114_n1117$true RAExtendUnLoadArm$_n10e9$raw_n1101 _n1114 RAExtendUnLoadArm$_n1114$raw_n111b - - 0 =RAExtendUnLoadArm$_n10e9$raw_n1101 - - 1 =RAExtendUnLoadArm$_n1114_n1117$true .mv PieceOutArm$_n1114$raw_n111d 2 Y N .names PieceOutArm$_n1114_n1119$true PieceOutArm$_n10e9$raw_n110b _n1114 PieceOutArm$_n1114$raw_n111d - - 0 =PieceOutArm$_n10e9$raw_n110b - - 1 =PieceOutArm$_n1114_n1119$true # if/else (RAExtendUnLoadArm == 2 && RAUnLoadArmExtended == 0) .mv RAExtendUnLoadArm$_n1112$raw_n112a 3 Extend Retract Stop .names RAExtendUnLoadArm$_n1114$raw_n111b RAExtendUnLoadArm$_n10e9$raw_n1101 _n1112 RAExtendUnLoadArm$_n1112$raw_n112a - - 0 =RAExtendUnLoadArm$_n10e9$raw_n1101 - - 1 =RAExtendUnLoadArm$_n1114$raw_n111b .mv UnLoadArmLoaded$_n1112$raw_n112b 2 Y N .names UnLoadArmLoaded$_n1114$raw_n111a UnLoadArmLoaded$_n10e9$raw_n1108 _n1112 UnLoadArmLoaded$_n1112$raw_n112b - - 0 =UnLoadArmLoaded$_n10e9$raw_n1108 - - 1 =UnLoadArmLoaded$_n1114$raw_n111a .mv PieceOutArm$_n1112$raw_n112d 2 Y N .names PieceOutArm$_n1114$raw_n111d PieceOutArm$_n10e9$raw_n110b _n1112 PieceOutArm$_n1112$raw_n112d - - 0 =PieceOutArm$_n10e9$raw_n110b - - 1 =PieceOutArm$_n1114$raw_n111d # if/else (RAArmOverDB == 0) .mv RAExtendUnLoadArm$_n10df$raw_n113b 3 Extend Retract Stop .names RAExtendUnLoadArm$_n1112$raw_n112a RAExtendUnLoadArm$_n10b8$raw_n10dd _n10df RAExtendUnLoadArm$_n10df$raw_n113b - - 0 =RAExtendUnLoadArm$_n10b8$raw_n10dd - - 1 =RAExtendUnLoadArm$_n1112$raw_n112a .mv UnLoadArmLoaded$_n10df$raw_n1142 2 Y N .names UnLoadArmLoaded$_n1112$raw_n112b UnLoadArmLoaded _n10df UnLoadArmLoaded$_n10df$raw_n1142 - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_n1112$raw_n112b .mv PieceOutArm$_n10df$raw_n1145 2 Y N .names PieceOutArm$_n1112$raw_n112d PieceOutArm _n10df PieceOutArm$_n10df$raw_n1145 - - 0 =PieceOutArm - - 1 =PieceOutArm$_n1112$raw_n112d # if/else (LoadArmLoaded == 0 && UnLoadArmLoaded == 0) .mv UnLoadArmLoaded$_n10b6$raw_n1150 2 Y N .names UnLoadArmLoaded$_n10df$raw_n1142 UnLoadArmLoaded _n10b6 UnLoadArmLoaded$_n10b6$raw_n1150 - - 0 =UnLoadArmLoaded - - 1 =UnLoadArmLoaded$_n10df$raw_n1142 .mv RAExtendUnLoadArm$_n10b6$raw_n1151 3 Extend Retract Stop .names RAExtendUnLoadArm$_n10df$raw_n113b RAExtendUnLoadArm _n10b6 RAExtendUnLoadArm$_n10b6$raw_n1151 - - 0 =RAExtendUnLoadArm - - 1 =RAExtendUnLoadArm$_n10df$raw_n113b .mv RARotaryMotor$_n10b6$raw_n1152 3 CWise Stop CCWise .names RARotaryMotor$_n10b8$raw_n10de RARotaryMotor _n10b6 RARotaryMotor$_n10b6$raw_n1152 - - 0 =RARotaryMotor - - 1 =RARotaryMotor$_n10b8$raw_n10de .mv PieceOutArm$_n10b6$raw_n1153 2 Y N .names PieceOutArm$_n10df$raw_n1145 PieceOutArm _n10b6 PieceOutArm$_n10b6$raw_n1153 - - 0 =PieceOutArm - - 1 =PieceOutArm$_n10df$raw_n1145 # if/else (LoadArmLoaded == 0 && UnLoadArmLoaded == 1) .mv UnLoadArmLoaded$_nf39$raw_n115b 2 Y N .names UnLoadArmLoaded$_nfcc$raw_n1020 UnLoadArmLoaded$_n10b6$raw_n1150 _nf39 UnLoadArmLoaded$_nf39$raw_n115b - - 0 =UnLoadArmLoaded$_n10b6$raw_n1150 - - 1 =UnLoadArmLoaded$_nfcc$raw_n1020 .mv RAExtendUnLoadArm$_nf39$raw_n115d 3 Extend Retract Stop .names RAExtendUnLoadArm$_n102c$raw_n1096 RAExtendUnLoadArm$_n10b6$raw_n1151 _nf39 RAExtendUnLoadArm$_nf39$raw_n115d - - 0 =RAExtendUnLoadArm$_n10b6$raw_n1151 - - 1 =RAExtendUnLoadArm$_n102c$raw_n1096 .mv RARotaryMotor$_nf39$raw_n115f 3 CWise Stop CCWise .names RARotaryMotor$_n102c$raw_n1099 RARotaryMotor$_n10b6$raw_n1152 _nf39 RARotaryMotor$_nf39$raw_n115f - - 0 =RARotaryMotor$_n10b6$raw_n1152 - - 1 =RARotaryMotor$_n102c$raw_n1099 .mv ArmLoadedPress$_nf39$raw_n1163 2 Y N .names ArmLoadedPress$_nf71$raw_nfc4 ArmLoadedPress _nf39 ArmLoadedPress$_nf39$raw_n1163 - - 0 =ArmLoadedPress - - 1 =ArmLoadedPress$_nf71$raw_nfc4 .mv ArmUnLoadedPress$_nf39$raw_n1165 2 Y N .names ArmUnLoadedPress$_nfcc$raw_n1023 ArmUnLoadedPress _nf39 ArmUnLoadedPress$_nf39$raw_n1165 - - 0 =ArmUnLoadedPress - - 1 =ArmUnLoadedPress$_nfcc$raw_n1023 .mv RAExtendLoadArm$_nf39$raw_n1167 3 Extend Retract Stop .names RAExtendLoadArm$_n102c$raw_n109b RAExtendLoadArm _nf39 RAExtendLoadArm$_nf39$raw_n1167 - - 0 =RAExtendLoadArm - - 1 =RAExtendLoadArm$_n102c$raw_n109b .mv LoadArmLoaded$_nf39$raw_n1168 2 Y N .names LoadArmLoaded$_nf71$raw_nfc8 LoadArmLoaded _nf39 LoadArmLoaded$_nf39$raw_n1168 - - 0 =LoadArmLoaded - - 1 =LoadArmLoaded$_nf71$raw_nfc8 .mv PieceOutArm$_nf39$raw_n116c 2 Y N .names PieceOutArm PieceOutArm$_n10b6$raw_n1153 _nf39 PieceOutArm$_nf39$raw_n116c - - 0 =PieceOutArm$_n10b6$raw_n1153 - - 1 =PieceOutArm # if/else (LoadArmLoaded == 1 && UnLoadArmLoaded == 0) .mv UnLoadArmLoaded$_neb3$raw_n1171 2 Y N .names UnLoadArmLoaded$_nedc$raw_nf2f UnLoadArmLoaded$_nf39$raw_n115b _neb3 UnLoadArmLoaded$_neb3$raw_n1171 - - 0 =UnLoadArmLoaded$_nf39$raw_n115b - - 1 =UnLoadArmLoaded$_nedc$raw_nf2f .mv RAExtendUnLoadArm$_neb3$raw_n1172 3 Extend Retract Stop .names RAExtendUnLoadArm$_nedc$raw_nf28 RAExtendUnLoadArm$_nf39$raw_n115d _neb3 RAExtendUnLoadArm$_neb3$raw_n1172 - - 0 =RAExtendUnLoadArm$_nf39$raw_n115d - - 1 =RAExtendUnLoadArm$_nedc$raw_nf28 .mv RARotaryMotor$_neb3$raw_n1173 3 CWise Stop CCWise .names RARotaryMotor$_neb5$raw_nedb RARotaryMotor$_nf39$raw_n115f _neb3 RARotaryMotor$_neb3$raw_n1173 - - 0 =RARotaryMotor$_nf39$raw_n115f - - 1 =RARotaryMotor$_neb5$raw_nedb .mv PieceOutArm$_neb3$raw_n1174 2 Y N .names PieceOutArm$_nedc$raw_nf32 PieceOutArm$_nf39$raw_n116c _neb3 PieceOutArm$_neb3$raw_n1174 - - 0 =PieceOutArm$_nf39$raw_n116c - - 1 =PieceOutArm$_nedc$raw_nf32 .mv ArmLoadedPress$_neb3$raw_n1179 2 Y N .names ArmLoadedPress ArmLoadedPress$_nf39$raw_n1163 _neb3 ArmLoadedPress$_neb3$raw_n1179 - - 0 =ArmLoadedPress$_nf39$raw_n1163 - - 1 =ArmLoadedPress .mv ArmUnLoadedPress$_neb3$raw_n117c 2 Y N .names ArmUnLoadedPress ArmUnLoadedPress$_nf39$raw_n1165 _neb3 ArmUnLoadedPress$_neb3$raw_n117c - - 0 =ArmUnLoadedPress$_nf39$raw_n1165 - - 1 =ArmUnLoadedPress .mv RAExtendLoadArm$_neb3$raw_n117e 3 Extend Retract Stop .names RAExtendLoadArm RAExtendLoadArm$_nf39$raw_n1167 _neb3 RAExtendLoadArm$_neb3$raw_n117e - - 0 =RAExtendLoadArm$_nf39$raw_n1167 - - 1 =RAExtendLoadArm .mv LoadArmLoaded$_neb3$raw_n1180 2 Y N .names LoadArmLoaded LoadArmLoaded$_nf39$raw_n1168 _neb3 LoadArmLoaded$_neb3$raw_n1180 - - 0 =LoadArmLoaded$_nf39$raw_n1168 - - 1 =LoadArmLoaded # if/else (LoadArmLoaded == 1 && UnLoadArmLoaded == 1) .mv UnLoadArmLoaded$_nc51$raw_n1188 2 Y N .names UnLoadArmLoaded$_nd32$raw_ndee UnLoadArmLoaded$_neb3$raw_n1171 _nc51 UnLoadArmLoaded$_nc51$raw_n1188 - - 0 =UnLoadArmLoaded$_neb3$raw_n1171 - - 1 =UnLoadArmLoaded$_nd32$raw_ndee .mv RAExtendUnLoadArm$_nc51$raw_n1189 3 Extend Retract Stop .names RAExtendUnLoadArm$_ndfa$raw_ne93 RAExtendUnLoadArm$_neb3$raw_n1172 _nc51 RAExtendUnLoadArm$_nc51$raw_n1189 - - 0 =RAExtendUnLoadArm$_neb3$raw_n1172 - - 1 =RAExtendUnLoadArm$_ndfa$raw_ne93 .mv ArmUnLoadedPress$_nc51$raw_n118a 2 Y N .names ArmUnLoadedPress$_nd32$raw_ndf0 ArmUnLoadedPress$_neb3$raw_n117c _nc51 ArmUnLoadedPress$_nc51$raw_n118a - - 0 =ArmUnLoadedPress$_neb3$raw_n117c - - 1 =ArmUnLoadedPress$_nd32$raw_ndf0 .mv RARotaryMotor$_nc51$raw_n118b 3 CWise Stop CCWise .names RARotaryMotor$_ndfa$raw_ne95 RARotaryMotor$_neb3$raw_n1173 _nc51 RARotaryMotor$_nc51$raw_n118b - - 0 =RARotaryMotor$_neb3$raw_n1173 - - 1 =RARotaryMotor$_ndfa$raw_ne95 .mv RAExtendLoadArm$_nc51$raw_n118c 3 Extend Retract Stop .names RAExtendLoadArm$_nd32$raw_nde4 RAExtendLoadArm$_neb3$raw_n117e _nc51 RAExtendLoadArm$_nc51$raw_n118c - - 0 =RAExtendLoadArm$_neb3$raw_n117e - - 1 =RAExtendLoadArm$_nd32$raw_nde4 .mv LoadArmLoaded$_nc51$raw_n118e 2 Y N .names LoadArmLoaded$_nc53$raw_ncce LoadArmLoaded$_neb3$raw_n1180 _nc51 LoadArmLoaded$_nc51$raw_n118e - - 0 =LoadArmLoaded$_neb3$raw_n1180 - - 1 =LoadArmLoaded$_nc53$raw_ncce .mv PieceGrabbedFromRT$_nc51$raw_n1194 2 Y N .names PieceGrabbedFromRT$_nc53$raw_nccd PieceGrabbedFromRT _nc51 PieceGrabbedFromRT$_nc51$raw_n1194 - - 0 =PieceGrabbedFromRT - - 1 =PieceGrabbedFromRT$_nc53$raw_nccd .mv ArmLoadedPress$_nc51$raw_n1196 2 Y N .names ArmLoadedPress ArmLoadedPress$_neb3$raw_n1179 _nc51 ArmLoadedPress$_nc51$raw_n1196 - - 0 =ArmLoadedPress$_neb3$raw_n1179 - - 1 =ArmLoadedPress .mv PieceOutArm$_nc51$raw_n119c 2 Y N .names PieceOutArm PieceOutArm$_neb3$raw_n1174 _nc51 PieceOutArm$_nc51$raw_n119c - - 0 =PieceOutArm$_neb3$raw_n1174 - - 1 =PieceOutArm .mv _n119f 2 Y N .names _n119f N # DBReady == 1 .names DBReady _n119f _n119e .def 0 - =DBReady 1 .mv _n11a1 2 Y N .names _n11a1 Y # PieceOutArm == 0 .names PieceOutArm$_nc51$raw_n119c _n11a1 _n11a0 .def 0 - =PieceOutArm$_nc51$raw_n119c 1 # DBReady == 1 && PieceOutArm == 0 .names _n119e _n11a0 _n11a2 .def 0 1 1 1 .names _n11a2 _n11a3 - =_n11a2 # PieceOutArm = 1 .mv PieceOutArm$_n11a2_n11a4$true 2 Y N .names PieceOutArm$_n11a2_n11a4$true N # if/else (DBReady == 1 && PieceOutArm == 0) .mv PieceOutArm$_n11a2$raw_n11ac 2 Y N .names PieceOutArm$_n11a2_n11a4$true PieceOutArm$_nc51$raw_n119c _n11a2 PieceOutArm$_n11a2$raw_n11ac - - 0 =PieceOutArm$_nc51$raw_n119c - - 1 =PieceOutArm$_n11a2_n11a4$true .mv _n11ca 2 Y N .names _n11ca N # PressReadyToBeUnLoaded == 1 .names PressReadyToBeUnLoaded _n11ca _n11c9 .def 0 - =PressReadyToBeUnLoaded 1 .mv _n11cc 2 Y N .names _n11cc Y # ArmUnLoadedPress == 0 .names ArmUnLoadedPress$_nc51$raw_n118a _n11cc _n11cb .def 0 - =ArmUnLoadedPress$_nc51$raw_n118a 1 # PressReadyToBeUnLoaded == 1 && ArmUnLoadedPress == 0 .names _n11c9 _n11cb _n11cd .def 0 1 1 1 .names _n11cd _n11ce - =_n11cd # ArmUnLoadedPress = 1 .mv ArmUnLoadedPress$_n11cd_n11cf$true 2 Y N .names ArmUnLoadedPress$_n11cd_n11cf$true N # if/else (PressReadyToBeUnLoaded == 1 && ArmUnLoadedPress == 0) .mv ArmUnLoadedPress$_n11cd$raw_n11d3 2 Y N .names ArmUnLoadedPress$_n11cd_n11cf$true ArmUnLoadedPress$_nc51$raw_n118a _n11cd ArmUnLoadedPress$_n11cd$raw_n11d3 - - 0 =ArmUnLoadedPress$_nc51$raw_n118a - - 1 =ArmUnLoadedPress$_n11cd_n11cf$true .mv _n11f5 2 Y N .names _n11f5 Y # ArmLoadedPress == 0 .names ArmLoadedPress$_nc51$raw_n1196 _n11f5 _n11f4 .def 0 - =ArmLoadedPress$_nc51$raw_n1196 1 .mv _n11f7 2 Y N .names _n11f7 N # PressReadyToBeLoaded == 1 .names PressReadyToBeLoaded _n11f7 _n11f6 .def 0 - =PressReadyToBeLoaded 1 # ArmLoadedPress == 0 && PressReadyToBeLoaded == 1 .names _n11f4 _n11f6 _n11f8 .def 0 1 1 1 .names _n11f8 _n11f9 - =_n11f8 # ArmLoadedPress = 1 .mv ArmLoadedPress$_n11f8_n11fa$true 2 Y N .names ArmLoadedPress$_n11f8_n11fa$true N # if/else (ArmLoadedPress == 0 && PressReadyToBeLoaded == 1) .mv ArmLoadedPress$_n11f8$raw_n11fd 2 Y N .names ArmLoadedPress$_n11f8_n11fa$true ArmLoadedPress$_nc51$raw_n1196 _n11f8 ArmLoadedPress$_n11f8$raw_n11fd - - 0 =ArmLoadedPress$_nc51$raw_n1196 - - 1 =ArmLoadedPress$_n11f8_n11fa$true .mv _n1220 2 Y N .names _n1220 N # RTOutReady == 1 .names RTOutReady _n1220 _n121f .def 0 - =RTOutReady 1 .mv _n1222 2 Y N .names _n1222 Y # PieceGrabbedFromRT == 0 .names PieceGrabbedFromRT$_nc51$raw_n1194 _n1222 _n1221 .def 0 - =PieceGrabbedFromRT$_nc51$raw_n1194 1 # RTOutReady == 1 && PieceGrabbedFromRT == 0 .names _n121f _n1221 _n1223 .def 0 1 1 1 .names _n1223 _n1224 - =_n1223 # PieceGrabbedFromRT = 1 .mv PieceGrabbedFromRT$_n1223_n1225$true 2 Y N .names PieceGrabbedFromRT$_n1223_n1225$true N # if/else (RTOutReady == 1 && PieceGrabbedFromRT == 0) .mv PieceGrabbedFromRT$_n1223$raw_n122c 2 Y N .names PieceGrabbedFromRT$_n1223_n1225$true PieceGrabbedFromRT$_nc51$raw_n1194 _n1223 PieceGrabbedFromRT$_n1223$raw_n122c - - 0 =PieceGrabbedFromRT$_nc51$raw_n1194 - - 1 =PieceGrabbedFromRT$_n1223_n1225$true # conflict arbitrators .names _nc52 _nd34 _nd43 _nd6b _nd96 _neb4 _nede _nee7 _neea _nf13 _nf3a _nfce _nfd7 _nfda _n10b7 _n10e1 _n10ea _n10ee _n1113 _n1116 _n124a .def 0 1 1 0 0 1 - - - - - - - - - - - - - - - 1 0 - - - - 1 1 1 1 - - - - - - - - - - - 1 0 - - - - 1 1 - - 1 - - - - - - - - - - 1 0 - - - - 0 - - - - 1 1 1 1 - - - - - - 1 0 - - - - 0 - - - - 0 - - - 1 1 1 1 - - 1 0 - - - - 0 - - - - 0 - - - 1 1 - - 1 1 1 .mv _n124b 2 Y N .names _n124a UnLoadArmLoaded$_nc51$raw_n1188 UnLoadArmLoaded _n124b 1 - - =UnLoadArmLoaded$_nc51$raw_n1188 0 - - =UnLoadArmLoaded .names _nc52 _neb4 _nf3a _nf73 _nfa4 _n11f9 _n1258 .def 0 0 0 1 1 1 - 1 - - - - - 1 1 .mv _n1259 2 Y N .names _n1258 ArmLoadedPress$_n11f8$raw_n11fd ArmLoadedPress _n1259 1 - - =ArmLoadedPress$_n11f8$raw_n11fd 0 - - =ArmLoadedPress .names _nc52 _nc55 _nc64 _nc82 _nc85 _nd34 _nd43 _nd6b _nd96 _ndfc _ne31 _ne52 _ne58 _neb4 _neb7 _neba _necb _nede _nee7 _neea _nf13 _nf3a _nf3d _nf40 _nf43 _nfce _nfd7 _nfda _n102e _n1077 _n10b7 _n10ba _n10bd _n10ce _n10e1 _n10ea _n10ee _n1113 _n1116 _n1266 .def 0 1 1 0 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - 1 0 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - 1 - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - 1 1 - 1 - - - - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - 1 - - - 1 1 1 - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - 1 - - - 1 1 0 - - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - 1 - - - 1 - - 1 - - - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - 0 - - - - - - - 1 1 1 1 - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - 0 - - - - - - - 1 - - - 1 1 1 - - - - - - - - - - - 1 0 - - - - - - - - - - - - 0 - - - - - - - 1 - - - - - - 1 1 - - - - - - - - - 1 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 1 1 - - - - - - 1 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 1 - 1 - - - - - 1 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 - - - 1 1 - - - 1 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 - - - 1 1 1 - - 1 0 - - - - - - - - - - - - 0 - - - - - - - 0 - - - - - - - - 1 - - - 1 - - 1 1 1 .mv _n1267 3 Extend Retract Stop .names _n1266 RAExtendUnLoadArm$_nc51$raw_n1189 RAExtendUnLoadArm _n1267 1 - - =RAExtendUnLoadArm$_nc51$raw_n1189 0 - - =RAExtendUnLoadArm .names _nc52 _nd34 _nd43 _nd6b _nd96 _neb4 _nf3a _nfce _nfd7 _nfda _n11ce _n1274 .def 0 1 1 0 0 1 - - - - - - 1 0 - - - - 0 1 1 1 1 - 1 - - - - - - - - - - 1 1 .mv _n1275 2 Y N .names _n1274 ArmUnLoadedPress$_n11cd$raw_n11d3 ArmUnLoadedPress _n1275 1 - - =ArmUnLoadedPress$_n11cd$raw_n11d3 0 - - =ArmUnLoadedPress .names _nc52 _nc55 _nc64 _nc67 _nc6b _nc82 _nc85 _ncd1 _nce0 _nd07 _nd34 _nd43 _nd46 _nd6b _ndfc _ne0b _ne31 _ne52 _ne58 _neb4 _neb7 _neba _necb _nf3a _nf3d _nf40 _nf43 _nf5a _n102e _n1031 _n1077 _n10b7 _n10ba _n10bd _n10ce _n1282 .def 0 1 1 1 0 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 1 0 - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - 1 - 1 - - - - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - 1 1 0 - - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - 1 0 - 1 - - - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - 1 - 1 - - - - - - - - - - - - - - - - - - 1 1 - - - - - - - - - - - - - 1 - - 1 1 - - - - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - 1 1 1 - - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - 1 1 - 1 - - - - - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - 0 - - - 1 1 1 1 - - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - 0 - - - 1 1 - - 1 - - - - - - - 1 0 - - - - - - - - - - - - - - - - - - 0 - - - 1 - - - - 1 1 - - - - - 1 0 - - - - - - - - - - - - - - - - - - 0 - - - 1 - - - - 1 - 1 - - - - 1 0 - - - - - - - - - - - - - - - - - - 0 - - - 0 - - - - - - - 1 1 1 - 1 0 - - - - - - - - - - - - - - - - - - 0 - - - 0 - - - - - - - 1 1 - 1 1 .mv _n1283 3 CWise Stop CCWise .names _n1282 RARotaryMotor$_nc51$raw_n118b RARotaryMotor _n1283 1 - - =RARotaryMotor$_nc51$raw_n118b 0 - - =RARotaryMotor .names _nc52 _nc55 _nc64 _nc67 _nc82 _nc9f _ncd1 _nd07 _nd34 _nd43 _nd46 _nd6b _nd6f _neb4 _nf3a _nf3d _nf5a _nf73 _nf7f _nf82 _nfa4 _n102e _n1031 _n1035 _n1290 .def 0 1 1 1 1 - - - - - - - - - - - - - - - - - - - - 1 1 1 0 - 0 1 - - - - - - - - - - - - - - - - - - 1 1 - - - - - 1 1 - - - - - - - - - - - - - - - - 1 1 - - - - - - - 1 1 1 - - - - - - - - - - - - - 1 1 - - - - - - - 1 0 - 1 1 - - - - - - - - - - - 1 0 - - - - - - - - - - - - 0 1 1 1 - - - - - - - 1 0 - - - - - - - - - - - - 0 1 - - 1 1 1 - - - - 1 0 - - - - - - - - - - - - 0 1 - - 1 - - 1 - - - 1 0 - - - - - - - - - - - - 0 1 - - - - - - 1 1 1 1 .mv _n1291 3 Extend Retract Stop .names _n1290 RAExtendLoadArm$_nc51$raw_n118c RAExtendLoadArm _n1291 1 - - =RAExtendLoadArm$_nc51$raw_n118c 0 - - =RAExtendLoadArm .names _nc52 _nc55 _nc64 _nc82 _nc9f _n1224 _n129e .def 0 1 1 0 0 1 - 1 - - - - - 1 1 .mv _n129f 2 Y N .names _n129e PieceGrabbedFromRT$_n1223$raw_n122c PieceGrabbedFromRT _n129f 1 - - =PieceGrabbedFromRT$_n1223$raw_n122c 0 - - =PieceGrabbedFromRT .names _nc52 _neb4 _nede _nee7 _neea _nf13 _nf3a _n10b7 _n10e1 _n10ea _n10ee _n1113 _n1116 _n11a3 _n12ac .def 0 0 1 1 1 1 - - - - - - - - - 1 0 1 1 - - 1 - - - - - - - - 1 0 0 - - - - 0 1 1 1 1 - - - 1 0 0 - - - - 0 1 1 - - 1 1 - 1 - - - - - - - - - - - - - 1 1 .mv _n12ad 2 Y N .names _n12ac PieceOutArm$_n11a2$raw_n11ac PieceOutArm _n12ad 1 - - =PieceOutArm$_n11a2$raw_n11ac 0 - - =PieceOutArm .names _nc52 _nc55 _nc64 _nc82 _nc9f _neb4 _nf3a _nf73 _nfa4 _n12ba .def 0 1 1 0 0 1 - - - - 1 0 - - - - 0 1 1 1 1 .mv _n12bb 2 Y N .names _n12ba LoadArmLoaded$_nc51$raw_n118e LoadArmLoaded _n12bb 1 - - =LoadArmLoaded$_nc51$raw_n118e 0 - - =LoadArmLoaded # non-blocking assignments # latches .r RAExtendUnLoadArm$raw_nc45 RAExtendUnLoadArm - =RAExtendUnLoadArm$raw_nc45 .latch _n1267 RAExtendUnLoadArm .r ArmLoadedPress$raw_nc49 ArmLoadedPress - =ArmLoadedPress$raw_nc49 .latch _n1259 ArmLoadedPress .r UnLoadArmLoaded$raw_nc4c UnLoadArmLoaded - =UnLoadArmLoaded$raw_nc4c .latch _n124b UnLoadArmLoaded .r RARotaryMotor$raw_nc46 RARotaryMotor - =RARotaryMotor$raw_nc46 .latch _n1283 RARotaryMotor .r ArmUnLoadedPress$raw_nc48 ArmUnLoadedPress - =ArmUnLoadedPress$raw_nc48 .latch _n1275 ArmUnLoadedPress .r RAExtendLoadArm$raw_nc44 RAExtendLoadArm - =RAExtendLoadArm$raw_nc44 .latch _n1291 RAExtendLoadArm .r PieceOutArm$raw_nc47 PieceOutArm - =PieceOutArm$raw_nc47 .latch _n12ad PieceOutArm .r PieceGrabbedFromRT$raw_nc4a PieceGrabbedFromRT - =PieceGrabbedFromRT$raw_nc4a .latch _n129f PieceGrabbedFromRT .r LoadArmLoaded$raw_nc4b LoadArmLoaded - =LoadArmLoaded$raw_nc4b .latch _n12bb LoadArmLoaded # quasi-continuous assignment .end