source: trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/RegisterFile_Multi_Banked_Glue/src/RegisterFile_Multi_Banked_Glue_vhdl_port.cpp @ 15

Last change on this file since 15 was 15, checked in by rosiere, 17 years ago

Interface normalisé
Début du banc de registres multi niveaux

File size: 4.4 KB
Line 
1#ifdef VHDL
2/*
3 * $Id$
4 *
5 * [ Description ]
6 *
7 */
8
9#include "Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/RegisterFile_Multi_Banked_Glue/include/RegisterFile_Multi_Banked_Glue.h"
10
11#ifdef VHDL_TESTBENCH
12# define VHDL_SET_PORT(name,direction,size) vhdl.set_port (name,direction,size); _vhdl_testbench->set_port (name,direction,size);
13#else
14# define VHDL_SET_PORT(name,direction,size) vhdl.set_port (name,direction,size);
15#endif
16
17namespace morpheo                    {
18namespace behavioural {
19namespace generic {
20namespace registerfile{
21namespace registerfile_multi_banked {
22namespace registerfile_multi_banked_glue {
23
24
25  void RegisterFile_Multi_Banked_Glue::vhdl_port (Vhdl & vhdl)
26  {
27    log_printf(FUNC,RegisterFile_Multi_Banked_Glue,"vhdl_port","Begin");
28
29    log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","Interface READ_IN");
30   for (uint32_t i=0; i<_param._nb_port_read; i++)
31     {
32       VHDL_SET_PORT(" in_READ_IN_VAL_"+toString(i)+"      ", IN, 1);
33       VHDL_SET_PORT("out_READ_IN_ACK_"+toString(i)+"      ",OUT, 1);
34       VHDL_SET_PORT(" in_READ_IN_ADDRESS_"+toString(i)+"  ", IN, _param._size_address);
35       VHDL_SET_PORT("out_READ_IN_DATA_"+toString(i)+"     ",OUT, _param._size_word   );
36     }
37
38   log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","Interface READ_SELECT");
39       
40   for (uint32_t i=0; i<_param._nb_bank; i++)
41     {
42       log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","READ_SELECT[%d]",i);
43       for (uint32_t j=0; j<_param._nb_port_read_by_bank; j++)
44         {
45           log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","READ_SELECT[%d][%d]",i,j);
46           for (uint32_t k=0; k<_param._nb_port_select_by_bank_read_port [j]; k++)
47             {
48               log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","READ_SELECT[%d][%d][%d]",i,j,k);
49               VHDL_SET_PORT("out_READ_SELECT_VAL_"+toString(i)+"_"+toString(j)+"_"+toString(k)+" ",OUT, 1);
50               VHDL_SET_PORT(" in_READ_SELECT_ACK_"+toString(i)+"_"+toString(j)+"_"+toString(k)+" ", IN, 1);
51             }
52         }
53     }
54   log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","Interface READ_OUT");
55   
56    for (uint32_t i=0; i<_param._nb_bank; i++)
57      for (uint32_t j=0; j<_param._nb_port_read_by_bank; j++)
58        {
59          VHDL_SET_PORT("out_READ_OUT_VAL_"+toString(i)+"_"+toString(j)+"      ",OUT, 1);
60          VHDL_SET_PORT(" in_READ_OUT_ACK_"+toString(i)+"_"+toString(j)+"      ", IN, 1);
61          VHDL_SET_PORT("out_READ_OUT_ADDRESS_"+toString(i)+"_"+toString(j)+"  ",OUT, _param._size_address);
62          VHDL_SET_PORT(" in_READ_OUT_DATA_"+toString(i)+"_"+toString(j)+"     ", IN, _param._size_word   );
63        }
64
65    log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","Interface WRITE_IN");
66   
67   for (uint32_t i=0; i<_param._nb_port_write; i++)
68     {
69       VHDL_SET_PORT(" in_WRITE_IN_VAL_"+toString(i)+"     ", IN, 1);
70       VHDL_SET_PORT("out_WRITE_IN_ACK_"+toString(i)+"     ",OUT, 1);
71       VHDL_SET_PORT(" in_WRITE_IN_ADDRESS_"+toString(i)+" ", IN, _param._size_address);
72       VHDL_SET_PORT(" in_WRITE_IN_DATA_"+toString(i)+"    ", IN, _param._size_word   );
73     }
74   
75   log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","Interface WRITE_SELECT");
76
77   for (uint32_t i=0; i<_param._nb_bank; i++)
78     for (uint32_t j=0; j<_param._nb_port_write_by_bank; j++)
79       for (uint32_t k=0; k<_param._nb_port_select_by_bank_write_port [j]; k++)
80         {
81           VHDL_SET_PORT("out_WRITE_SELECT_VAL_"+toString(i)+"_"+toString(j)+"_"+toString(k)+" ",OUT, 1);
82           VHDL_SET_PORT(" in_WRITE_SELECT_ACK_"+toString(i)+"_"+toString(j)+"_"+toString(k)+" ", IN, 1);
83         }
84   
85   log_printf(TRACE,RegisterFile_Multi_Banked_Glue,"vhdl_port","Interface WRITE_OUT");
86   
87   for (uint32_t i=0; i<_param._nb_bank; i++)
88     for (uint32_t j=0; j<_param._nb_port_write_by_bank; j++)
89       {
90         VHDL_SET_PORT("out_WRITE_OUT_VAL_"+toString(i)+"_"+toString(j)+"     ",OUT, 1);
91         VHDL_SET_PORT(" in_WRITE_OUT_ACK_"+toString(i)+"_"+toString(j)+"     ", IN, 1);
92         VHDL_SET_PORT("out_WRITE_OUT_ADDRESS_"+toString(i)+"_"+toString(j)+" ",OUT, _param._size_address);
93         VHDL_SET_PORT("out_WRITE_OUT_DATA_"+toString(i)+"_"+toString(j)+"    ",OUT, _param._size_word   );
94       }
95
96    log_printf(FUNC,RegisterFile_Multi_Banked_Glue,"vhdl_port","End");
97  };
98
99}; // end namespace registerfile_multi_banked_glue
100}; // end namespace registerfile_multi_banked
101}; // end namespace registerfile
102}; // end namespace generic
103
104}; // end namespace behavioural
105}; // end namespace morpheo             
106#endif
Note: See TracBrowser for help on using the repository browser.