source: trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_ieee.cpp @ 43

Last change on this file since 43 was 43, checked in by rosiere, 17 years ago

Modif mineur : ajout d'info de débug

Release non stable

File size: 1.2 KB
Line 
1#ifdef VHDL
2
3/*
4 * $Id$
5 *
6 * [ Description ]
7 *
8 */
9
10#include "Behavioural/include/Vhdl.h"
11
12#include <sstream>
13using namespace std;
14
15namespace morpheo              {
16namespace behavioural          {
17 
18#undef  FUNCTION
19#define FUNCTION "Vhdl::get_library_ieee"
20  string Vhdl::get_library_ieee (uint32_t depth)
21  {
22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
23
24    string        tab = string(depth,'\t');
25    ostringstream text;
26
27    text << tab                                       << endl
28         << tab << "library ieee;"                    << endl
29         << tab << "use ieee.numeric_bit.all;"        << endl
30         << tab << "use ieee.numeric_std.all;"        << endl
31         << tab << "use ieee.std_logic_1164.all;"     << endl
32         << tab << "use ieee.std_logic_arith.all;"    << endl
33         << tab << "use ieee.std_logic_misc.all;"     << endl
34         << tab << "--use ieee.std_logic_signed.all;"   << endl
35         << tab << "use ieee.std_logic_unsigned.all;" << endl
36         << tab << "--use ieee.std_logic_textio.all;"   << endl;
37     
38    log_printf(FUNC,Behavioural,FUNCTION,"End");
39
40    return text.str();
41  };
42 
43}; // end namespace behavioural         
44}; // end namespace morpheo             
45
46#endif
Note: See TracBrowser for help on using the repository browser.