Ignore:
Timestamp:
Jul 9, 2007, 11:04:26 AM (17 years ago)
Author:
rosiere
Message:

Modif mineur : ajout d'info de débug

Release non stable

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_ieee.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_library_ieee"
    1820  string Vhdl::get_library_ieee (uint32_t depth)
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23
    2024    string        tab = string(depth,'\t');
    2125    ostringstream text;
     
    3236         << tab << "--use ieee.std_logic_textio.all;"   << endl;
    3337     
     38    log_printf(FUNC,Behavioural,FUNCTION,"End");
     39
    3440    return text.str();
    3541  };
Note: See TracChangeset for help on using the changeset viewer.