Ignore:
Timestamp:
Feb 19, 2009, 5:31:47 PM (15 years ago)
Author:
rosiere
Message:

1) OOO_egine : add stat to depiste low perf source
2) Commit : add stat
3) LSU_Pointer : retire - always ack (else combinatory loop). insert - max nb_inst_memory
4) TopLevel? : add debug_idle_time to stop combinatory loop.
5) Issue_queue : add reexecute_queue, new implementation (routage after issue_queue)
6) Decod / Predictor : add "can_continue"

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Simulation_test_end.cpp

    r88 r110  
    1717
    1818    // Test if a stop condition is activate
    19     if ((_simulation_nb_cycle == 0)// and
    20 //         (_simulation_nb_instruction == 0)
     19    if ((_simulation_nb_cycle == 0) and
     20        (_simulation_nb_instruction == 0)
    2121        )
    2222      return false;
    2323
    24     bool end_cycle = true;
    25 //     bool end_inst  = true;
     24    bool end_cycle;
     25    bool end_inst ;
    2626
    2727    if (_simulation_nb_cycle != 0)
    2828      end_cycle = (_simulation_nb_cycle <= sc_simulation_time());
     29    else
     30      end_cycle = true;
    2931
    30 //     if (_simulation_nb_instruction != 0)
    31 //       {
    32 //         std::vector<double>::iterator it=_simulation_nb_instruction_commited->begin();
     32    if (_simulation_nb_instruction != 0)
     33      {
     34        end_inst = true;
     35
     36        std::vector<double>::iterator it=_simulation_nb_instruction_commited.begin();
    3337       
    34 //         // Scan all context and test if all can finish
    35 //         while (end_inst and it!=_simulation_nb_instruction_commited->end())
    36 //           {
    37 //             end_inst &= (*it <= _simulation_nb_instruction);
    38 //             it ++;
    39 //           }
    40 //       }
     38        // Scan all context and test if all can finish
     39        while (end_inst and it!=_simulation_nb_instruction_commited.end())
     40          {
     41            end_inst &= (_simulation_nb_instruction <= *it);
     42            it ++;
     43          }
     44      }
     45    else
     46      end_inst = true;
    4147   
    42     return end_cycle // and end_inst
    43       ;
     48    return end_cycle and end_inst;
    4449  }
    4550
Note: See TracChangeset for help on using the changeset viewer.