Ignore:
Timestamp:
Apr 14, 2009, 8:39:12 PM (15 years ago)
Author:
rosiere
Message:

1) Add modelsim simulation systemC
2) Modelsim cosimulation systemC / VHDL is not finish !!!! (cf execute_queue and write_unit)
3) Add multi architecture
5) Add template for comparator, multiplier and divider
6) Change Message
Warning) Various test macro have change, many selftest can't compile

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/src/Queue.cpp

    r88 r113  
    3333    ,_usage            (usage)
    3434  {
    35     log_printf(FUNC,Queue,FUNCTION,"Begin");
     35    log_begin(Queue,FUNCTION);
     36   
     37// #if DEBUG_Core == true
     38//     log_printf(INFO,Core,FUNCTION,_("<%s> Parameters"),_name.c_str());
    3639
    37 #if DEBUG_Core == true
    38     log_printf(INFO,Core,FUNCTION,_("<%s> Parameters"),_name.c_str());
     40//     std::cout << *param << std::endl;
     41// #endif   
    3942
    40     std::cout << *param << std::endl;
    41 #endif   
    42 
    43     log_printf(INFO,Queue,FUNCTION,"Allocation");
     43    log_printf(INFO,Queue,FUNCTION,_("<%s> Allocation"),_name.c_str());
    4444    allocation ();
    4545
     
    4747    if (usage_is_set(_usage,USE_STATISTICS))
    4848      {
    49         log_printf(INFO,Queue,FUNCTION,"Allocation of statistics");
     49        log_printf(INFO,Queue,FUNCTION,_("<%s> Allocation of statistics"),_name.c_str());
    5050       
    5151        statistics_declaration(param_statistics);
     
    5757      {
    5858        // generate the vhdl
    59         log_printf(INFO,Queue,FUNCTION,"Generate the vhdl");
     59        log_printf(INFO,Queue,FUNCTION,_("<%s> Generate the vhdl"),_name.c_str());
    6060       
    6161        vhdl();
     
    6666    if (usage_is_set(_usage,USE_SYSTEMC))
    6767      {
    68         log_printf(INFO,Queue,FUNCTION,"Method - transition");
     68        log_printf(INFO,Queue,FUNCTION,_("<%s> Method - transition"),_name.c_str());
    6969       
    7070        SC_METHOD (transition);
     
    7676# endif   
    7777       
    78         log_printf(INFO,Queue,FUNCTION,"Method - genMoore");
     78        log_printf(INFO,Queue,FUNCTION,_("<%s> Method - genMoore"),_name.c_str());
    7979       
    8080        SC_METHOD (genMoore);
     
    8888#endif
    8989      }
    90     log_printf(FUNC,Queue,FUNCTION,"End");
     90    log_end(Queue,FUNCTION);
    9191  };
    9292 
     
    9595  Queue::~Queue (void)
    9696  {
    97     log_printf(FUNC,Queue,FUNCTION,"Begin");
     97    log_begin(Queue,FUNCTION);
    9898
    9999#ifdef STATISTICS
    100100    if (usage_is_set(_usage,USE_STATISTICS))
    101101      {
    102         log_printf(INFO,Queue,FUNCTION,"Generate Statistics file");
     102        log_printf(INFO,Queue,FUNCTION,_("<%s> Generate Statistics file"),_name.c_str());
    103103       
    104104        delete _stat;
     
    106106#endif
    107107
    108     log_printf(INFO,Queue,FUNCTION,"Deallocation");
     108    log_printf(INFO,Queue,FUNCTION,_("<%s> Deallocation"),_name.c_str());
    109109    deallocation ();
    110110
    111     log_printf(FUNC,Queue,FUNCTION,"End");
     111    log_end(Queue,FUNCTION);
    112112  };
    113113
Note: See TracChangeset for help on using the changeset viewer.