Ignore:
Timestamp:
Jul 5, 2007, 5:50:19 PM (17 years ago)
Author:
rosiere
Message:

Modification des classes d'encapsulation des interfaces :

  • gère les signaux à écrire dans le vhdl
  • les traces pour le testbench
  • la génération des vhdl structurelles

-> test sur la Pattern History Table

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_vhdl.cpp

    r3 r42  
    2121  {
    2222    log_printf(FUNC,Two_Level_Branch_Predictor,"vhdl","Begin");
    23     Vhdl vhdl (_name);
     23    Vhdl * vhdl = new Vhdl (_name);
    2424
    25     vhdl.set_library_work (_name + "_Pack");
     25    vhdl->set_library_work (_name + "_Pack");
    2626    if (_param._have_bht)
    27     vhdl.set_library_work (_name + "_Branch_History_Table_Pack");
     27    vhdl->set_library_work (_name + "_Branch_History_Table_Pack");
    2828    if (_param._have_pht)
    29     vhdl.set_library_work (_name + "_Pattern_History_Table_Pack");
    30     vhdl.set_library_work (_name + "_Two_Level_Branch_Predictor_Glue_Pack");
     29    vhdl->set_library_work (_name + "_Pattern_History_Table_Pack");
     30    vhdl->set_library_work (_name + "_Two_Level_Branch_Predictor_Glue_Pack");
    3131   
    3232    vhdl_port        (vhdl);
     
    3434    vhdl_body        (vhdl);
    3535
    36     vhdl.generate_file();
     36    vhdl->generate_file();
     37
     38    delete vhdl;
     39
    3740    log_printf(FUNC,Two_Level_Branch_Predictor,"vhdl","End");
    3841
Note: See TracChangeset for help on using the changeset viewer.