Ignore:
Timestamp:
Aug 8, 2007, 9:16:10 PM (17 years ago)
Author:
rosiere
Message:

Modification des Makefile : pas de creation inutile de shell

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.Synthesis

    r42 r48  
    2525
    2626vhdl                            : execute $(DIR_WORK)
    27                                 @                                                                                                       \
     27                                @\
    2828                                declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*_Pack.vhdl));                                              \
    2929                                declare -a log_files=($${vhdl_files[*]/%.vhdl/.vhdl.log});                                              \
    30                                 if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi           
    31                                 @                                                                                                       \
    32                                 declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*_Testbench.vhdl));                                 \
     30                                if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi;          \
     31                                declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*_Testbench.vhdl));                                         \
    3332                                declare -a log_files=($${vhdl_files[*]/%.vhdl/.vhdl.log});                                              \
    34                                 if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi           
    35                                 @                                                                                                       \
     33                                if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi;          \
    3634                                declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*.vhdl|$(GREP_NOT) "(_Pack\.|_Testbench\.)"));              \
    3735                                declare -a log_files=($${vhdl_files[*]/%.vhdl/.vhdl.log});                                              \
    38                                 if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi           
     36                                if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi;
    3937
    4038vhdl_sim                        : vhdl
    41                                 @                                                                                                       \
     39                                @\
    4240                                declare -a vhdl_files=($$($(LS) $(DIR_VHDL)/*_Testbench.vhdl));                                         \
    4341                                declare -a log_files=($${vhdl_files[*]/%.vhdl/.vhdl_sim.log});                                          \
    44                                 if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi
     42                                if $(TEST) $${#log_files[*]} -ne 0; then $(MAKE) $${log_files[*]/#$(DIR_VHDL)/$(DIR_LOG)}; fi;
    4543
    4644fpga                            : vhdl_sim
    47                                 @$(ECHO) -e "" > $(FPGA_CFG_FILE_LOCAL)
    48                                 @$(ECHO) "files :::::::: $(FPGA_FILES)"
    49                                 @for file in $(FPGA_FILES); do                                                                          \
     45                                @\
     46                                $(ECHO) -e "" > $(FPGA_CFG_FILE_LOCAL); \
     47                                $(ECHO) "files :::::::: $(FPGA_FILES)"; \
     48                                for file in $(FPGA_FILES); do                                                                           \
    5049                                        declare -a files=($$($(LS) $$file*.vhdl|$(GREP_NOT) "(_Testbench\.)"));                         \
    5150                                        $(ECHO) -e "# $$file"                             >> $(FPGA_CFG_FILE_LOCAL);                    \
     
    5453                                        $(ECHO) -e "target_dep\t$$file.prj\t$${files[*]}" >> $(FPGA_CFG_FILE_LOCAL);                    \
    5554                                        $(ECHO) -e ""                                     >> $(FPGA_CFG_FILE_LOCAL);                    \
    56                                 done
    57                                 @($(XILINX_ENV); $(CD) $(FPGA_CFG_FILE_GLOBAL_DIR); ./$(FPGA_CFG_FILE_GLOBAL)) 
    58                                 @$(MAKE) $(FPGA_LOG_FILES)
     55                                done;                                   \
     56                                ($(XILINX_ENV); $(CD) $(FPGA_CFG_FILE_GLOBAL_DIR); ./$(FPGA_CFG_FILE_GLOBAL));                          \
     57                                $(MAKE) $(FPGA_LOG_FILES);
    5958
    6059$(DIR_LOG)/%.fpga.log           :
    61                                 @$(ECHO) "Synthetis on FPGA  : $*"
    62                                 @$(XILINX_ENV); $(MAKE) -f Makefile.mkf $*.ngc &> $@
     60                                @\
     61                                $(ECHO) "Synthetis on FPGA  : $*"; \
     62                                $(XILINX_ENV); $(MAKE) -f Makefile.mkf $*.ngc &> $@;
    6363
    6464$(DIR_WORK)                     :
    65                                 @$(ECHO) "Create work-space  : $@"
    66                                 @$(MODELTECH_VLIB) $@
     65                                @\
     66                                $(ECHO) "Create work-space  : $@"; \
     67                                $(MODELTECH_VLIB) $@;
    6768
    6869$(DIR_LOG)/%.vhdl_sim.log       : $(DIR_VHDL)/%.vhdl $(DIR_LOG)/%.vhdl.log
    69                                 @$(ECHO) "VHDL's Simulation  : $*"
    70                                 @$(MODELTECH_VSIM) "$(DIR_WORK).`$(BASENAME) $* |$(UPPERtoLOWER)`" &> $@
     70                                @\
     71                                $(ECHO) "VHDL's Simulation  : $*"; \
     72                                $(MODELTECH_VSIM) "$(DIR_WORK).`$(BASENAME) $* |$(UPPERtoLOWER)`" &> $@; \
    7173                                declare -i count=`$(GREP) -ch "Test OK" $@`;            \
    7274                                if $(TEST) $$count -ne 0;                               \
     
    7678
    7779$(DIR_LOG)/%.vhdl.log           : $(DIR_VHDL)/%.vhdl
    78                                 @$(ECHO) "VHDL's Compilation : $*"
    79                                 @$(MODELTECH_VCOM) $< &> $@
     80                                @\
     81                                $(ECHO) "VHDL's Compilation : $*"; \
     82                                $(MODELTECH_VCOM) $< &> $@;
    8083
    8184synthesis_clean                 :
    82                                 @if $(TEST) -f Makefile.mkf; then $(MAKE) -f Makefile.mkf clean; fi
    83                                 @$(RM) $(DIR_WORK) transcript Makefile.mkf
     85                                @\
     86                                if $(TEST) -f Makefile.mkf; then $(MAKE) -f Makefile.mkf clean; fi; \
     87                                $(RM) $(DIR_WORK) transcript Makefile.mkf;
    8488
    8589synthesis_help                  :
    86                                 @$(ECHO) " -----[ Synthesis ]----------------------------------"
    87                                 @$(ECHO) ""
    88                                 @$(ECHO) " * vhdl                 : compile all vhdl's file"
    89                                 @$(ECHO) " * vhdl_sim             : simulate all testbench's file"
    90                                 @$(ECHO) " * fpga                 : synthetis with fpga's tools"
    91                                 @$(ECHO) ""
     90                                @\
     91                                $(ECHO) " -----[ Synthesis ]----------------------------------";\
     92                                $(ECHO) "";\
     93                                $(ECHO) " * vhdl                 : compile all vhdl's file";\
     94                                $(ECHO) " * vhdl_sim             : simulate all testbench's file";\
     95                                $(ECHO) " * fpga                 : synthetis with fpga's tools";\
     96                                $(ECHO) "";
Note: See TracChangeset for help on using the changeset viewer.