Ignore:
Timestamp:
Sep 28, 2007, 2:58:08 PM (17 years ago)
Author:
rosiere
Message:
  • VHDL - RegisterFile_Multi_Banked (only partial_crossbar)
  • SystemC - modif Component, interface and co -> ajout du type Tusage_T pour instancier un coposant mais ne demander que le VHDL ou le systemC.
  • Séminaire interne
File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/RegisterFile_Multi_Banked_full_crossbar_genMealy_write.cpp

    r53 r57  
    2121    log_printf(FUNC,RegisterFile_Multi_Banked,"full_crossbar_genMealy_write","Begin");
    2222
    23     bool write_port_use [_param._nb_bank][_param._nb_port_write_by_bank];
     23    bool write_port_use [_param->_nb_bank][_param->_nb_port_write_by_bank];
    2424
    25     for (uint32_t i=0; i<_param._nb_bank; i++)
    26       for (uint32_t j=0; j<_param._nb_port_write_by_bank; j++)
     25    for (uint32_t i=0; i<_param->_nb_bank; i++)
     26      for (uint32_t j=0; j<_param->_nb_port_write_by_bank; j++)
    2727        write_port_use [i][j]=false;
    2828
    29     for (uint32_t i=0; i<_param._nb_port_write; i++)
     29    for (uint32_t i=0; i<_param->_nb_port_write; i++)
    3030      {
    3131        bool val = PORT_READ(in_WRITE_VAL    [i]);
     
    4444
    4545            // Search loop
    46             for (uint32_t j=0; j<_param._nb_port_write_by_bank; j++)
     46            for (uint32_t j=0; j<_param->_nb_port_write_by_bank; j++)
    4747              {
    4848                // find a unbusy port on this bank
Note: See TracChangeset for help on using the changeset viewer.