Changeset 75


Ignore:
Timestamp:
Jan 31, 2008, 6:46:41 PM (16 years ago)
Author:
rosiere
Message:

Update all component (except front_end) to :

  • new statistics model
  • no namespace std
Location:
trunk/IPs/systemC/processor/Morpheo
Files:
2 added
80 deleted
218 edited
16 moved

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Functionnal_unit/include/Functionnal_unit.h

    r72 r75  
    5555
    5656#ifdef STATISTICS
    57   private   : Stat                           * _stat;
     57  public    : Stat                           * _stat;
    5858
    5959  private   : counter_t                      * _stat_use_functionnal_unit;
     
    162162#ifdef STATISTICS
    163163  public  : void        statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    164   public  : std::string statistics_print          (uint32_t depth);
    165164#endif
    166165                                               
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Functionnal_unit/src/Functionnal_unit_allocation.cpp

    r73 r75  
    2121  void Functionnal_unit::allocation (void)
    2222  {
    23     string rename;
    24 
    2523    log_printf(FUNC,Functionnal_unit,FUNCTION,"Begin");
    2624
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Functionnal_unit/src/Functionnal_unit_statistics_declaration.cpp

    r72 r75  
    2525    log_printf(FUNC,Functionnal_unit,FUNCTION,"Begin");
    2626
    27     _stat = new Stat (static_cast<string>(_name),
     27    _stat = new Stat (static_cast<std::string>(_name),
    2828                      "Functionnal_unit",
    2929                      param_statistics);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Load_store_unit/SelfTest/include/Cache.h

    r71 r75  
    2727private : const uint32_t    _miss_rate;
    2828private : const uint32_t    _miss_penality;
    29 private : list<cache_rsp_t> _list_respons;
     29private : std::list<cache_rsp_t> _list_respons;
    3030                                         
    3131public  : Cache_t (uint32_t miss_rate, uint32_t miss_penality):
     
    5959
    6060    // find good place
    61     list<cache_rsp_t>::iterator i;
     61    std::list<cache_rsp_t>::iterator i;
    6262    for(i = _list_respons.begin(); (i != _list_respons.end()) and (i->_cycle<=cycle); i++);
    6363
     
    8282public  : void end_cycle (void)
    8383  {
    84     for(list<cache_rsp_t>::iterator i = _list_respons.begin(); i != _list_respons.end(); i++)
     84    for(std::list<cache_rsp_t>::iterator i = _list_respons.begin(); i != _list_respons.end(); i++)
    8585      {
    8686        i->_cycle --;
     
    9090public  : void print (void)
    9191  {
    92     for(list<cache_rsp_t>::iterator i = _list_respons.begin(); i != _list_respons.end(); i++)
     92    for(std::list<cache_rsp_t>::iterator i = _list_respons.begin(); i != _list_respons.end(); i++)
    9393      {
    9494        std::cout << "{" << i->_cycle << "}\t"
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Load_store_unit/SelfTest/include/Memory.h

    r72 r75  
    5353      }
    5454
    55     cout << "=====[ Memory's information ]" << endl
    56          << "  * _nb_context : " << _nb_context << endl
    57          << "  * _nb_word    : " << _nb_word    << endl
    58          << "  * _size_word  : " << _size_word  << endl
    59          << "  * _shift_addr : " << _shift_addr << endl
    60          << "  * _mask_addr  : " << hex << _mask_addr << dec << endl;
     55    std::cout << "=====[ Memory's information ]" << std::endl
     56              << "  * _nb_context : " << _nb_context << std::endl
     57              << "  * _nb_word    : " << _nb_word    << std::endl
     58              << "  * _size_word  : " << _size_word  << std::endl
     59              << "  * _shift_addr : " << _shift_addr << std::endl
     60              << "  * _mask_addr  : " << std::hex << _mask_addr << std::dec << std::endl;
    6161  }
    6262
     
    7171                                                       morpheo::behavioural::Tdcache_data_t    data)
    7272  {
    73     cout << "<Memory::access>" << endl
    74          << " * context : " << context << endl
    75          << " * address : " << hex << address << dec << endl
    76          << " * type    : " << type << endl
    77          << " * wdata   : " << hex << data << dec << endl;
     73    std::cout << "<Memory::access>" << std::endl
     74              << " * context : " << context << std::endl
     75              << " * address : " << std::hex << address << std::dec << std::endl
     76              << " * type    : " << type << std::endl
     77              << " * wdata   : " << std::hex << data << std::dec << std::endl;
    7878
    7979    morpheo::behavioural::Tdcache_data_t rdata;
     
    9090        rdata = other (context, address, type);
    9191
    92     cout << " * rdata   : " << hex << rdata << dec << endl;
     92    std::cout << " * rdata   : " << std::hex << rdata << std::dec << std::endl;
    9393
    9494    return rdata;
     
    161161                                                      morpheo::behavioural::Tdcache_data_t data)
    162162  {
    163     cout << "   * write" << endl;
     163    std::cout << "   * write" << std::endl;
    164164
    165165    if (context>_nb_context)
     
    172172    morpheo::behavioural::Tdcache_address_t MSB = address >> _shift_addr;
    173173
    174     cout << hex
    175          << "     * LSB         : " << LSB << endl
    176          << "     * MSB         : " << MSB << endl
    177          << dec;
     174    std::cout << std::hex
     175         << "     * LSB         : " << LSB << std::endl
     176         << "     * MSB         : " << MSB << std::endl
     177         << std::dec;
    178178 
    179179    morpheo::behavioural::Tdcache_data_t data_old    = _data [context][MSB];
     
    193193    uint32_t index_max = index_min+memory_size;
    194194
    195     cout << "     * type        : " << type << endl
    196          << "     * memory_size : " << memory_size << endl
    197          << "     * index_min   : " << index_min << endl
    198          << "     * index_max   : " << index_max << endl;
     195    std::cout << "     * type        : " << type << std::endl
     196         << "     * memory_size : " << memory_size << std::endl
     197         << "     * index_min   : " << index_min << std::endl
     198         << "     * index_max   : " << index_max << std::endl;
    199199   
    200200    morpheo::behavioural::Tdcache_data_t data_insert = data<<index_min; // the data is aligned at LSB
    201201
    202 //     cout << "read :" << endl
    203 //       << " * context     : " << context << endl
    204 //       << hex             
    205 //       << " * address     : " << address << endl
    206 //       << "   * LSB       : " << LSB       << endl
    207 //       << "   * MSB       : " << MSB       << endl
    208 //       << dec
    209 //       << "   * index_min : " << index_min << endl
    210 //       << "   * index_max : " << index_max << endl
    211 //       << " * type        : " << type    << endl;
     202//     std::cout << "read :" << std::endl
     203//       << " * context     : " << context << std::endl
     204//       << std::hex               
     205//       << " * address     : " << address << std::endl
     206//       << "   * LSB       : " << LSB       << std::endl
     207//       << "   * MSB       : " << MSB       << std::endl
     208//       << std::dec
     209//       << "   * index_min : " << index_min << std::endl
     210//       << "   * index_max : " << index_max << std::endl
     211//       << " * type        : " << type    << std::endl;
    212212
    213213    if (index_max > _size_word)
     
    218218    _data [context][MSB] = data_new;
    219219   
    220     cout << hex
    221          << "     * data_old    : " << data_old << endl
    222          << "     * data_new    : " << data_new << endl
    223          << dec;
     220    std::cout << std::hex
     221              << "     * data_old    : " << data_old << std::endl
     222              << "     * data_new    : " << data_new << std::endl
     223              << std::dec;
    224224
    225225
     
    277277          }
    278278        std::cout << " - "
    279                   << hex
     279                  << std::hex
    280280                  << i->_address << " : "
    281281                  << i->_data_old << " -> "
    282282                  << i->_data_new << std::endl
    283                   << hex;
     283                  << std::hex;
    284284      }
    285285  }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Load_store_unit/SelfTest/include/MemoryRequest.h

    r71 r75  
    126126  {
    127127    return os << "<" << morpheo::toString(x._cycle) << "> : "
    128               << "{" << morpheo::toString(static_cast<uint32_t>(x._packet_id)) << "}" << endl
    129               << "\t * context / front_end / ooo_engine  : " << morpheo::toString(static_cast<uint32_t>(x._context_id   )) << " - " << morpheo::toString(static_cast<uint32_t>(x._front_end_id )) << " - " << morpheo::toString(static_cast<uint32_t>(x._ooo_engine_id)) << endl
    130               << "\t * operation  / type / write_spec_ko : " << morpheo::toString(static_cast<uint32_t>(x._operation)) << " " << morpheo::toString(static_cast<uint32_t>(x._type)) << " " << morpheo::toString(static_cast<uint32_t>(x._write_spec_ko)) << endl
    131               << "\t * ptr_write store/load              : " << morpheo::toString(static_cast<uint32_t>(x._store_queue_ptr_write)) << " " << morpheo::toString(static_cast<uint32_t>(x._load_queue_ptr_write)) << endl
    132               << "\t * immediat / data_ra / data_rb      : " << morpheo::toString(static_cast<uint32_t>(x._immediat)) << " - " << morpheo::toString(static_cast<uint32_t>(x._data_ra)) << " - " << morpheo::toString(static_cast<uint32_t>(x._data_rb)) << endl
    133               << "\t * write_rd / num_reg_rd             : " << morpheo::toString(static_cast<uint32_t>(x._write_rd)) << " " << morpheo::toString(static_cast<uint32_t>(x._num_reg_rd)) << endl
    134               << "\t * data_wait                         : " << morpheo::toString(static_cast<uint32_t>(x._data_wait)) << endl;
     128              << "{" << morpheo::toString(static_cast<uint32_t>(x._packet_id)) << "}" << std::endl
     129              << "\t * context / front_end / ooo_engine  : " << morpheo::toString(static_cast<uint32_t>(x._context_id   )) << " - " << morpheo::toString(static_cast<uint32_t>(x._front_end_id )) << " - " << morpheo::toString(static_cast<uint32_t>(x._ooo_engine_id)) << std::endl
     130              << "\t * operation  / type / write_spec_ko : " << morpheo::toString(static_cast<uint32_t>(x._operation)) << " " << morpheo::toString(static_cast<uint32_t>(x._type)) << " " << morpheo::toString(static_cast<uint32_t>(x._write_spec_ko)) << std::endl
     131              << "\t * ptr_write store/load              : " << morpheo::toString(static_cast<uint32_t>(x._store_queue_ptr_write)) << " " << morpheo::toString(static_cast<uint32_t>(x._load_queue_ptr_write)) << std::endl
     132              << "\t * immediat / data_ra / data_rb      : " << morpheo::toString(static_cast<uint32_t>(x._immediat)) << " - " << morpheo::toString(static_cast<uint32_t>(x._data_ra)) << " - " << morpheo::toString(static_cast<uint32_t>(x._data_rb)) << std::endl
     133              << "\t * write_rd / num_reg_rd             : " << morpheo::toString(static_cast<uint32_t>(x._write_rd)) << " " << morpheo::toString(static_cast<uint32_t>(x._num_reg_rd)) << std::endl
     134              << "\t * data_wait                         : " << morpheo::toString(static_cast<uint32_t>(x._data_wait)) << std::endl;
    135135  }
    136136
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Load_store_unit/include/Load_store_unit.h

    r71 r75  
    3030#include "Behavioural/include/Vhdl.h"
    3131#endif
    32 
    33 using namespace std;
    34 using namespace morpheo::behavioural::generic::queue_control;
    3532
    3633namespace morpheo {
     
    5148    // -----[ fields ]----------------------------------------------------
    5249    // Parameters
    53   protected : const string       _name;
     50  protected : const std::string       _name;
    5451
    5552  protected : const Parameters * _param;
     
    194191   sc_module_name                                name,
    195192#else                                         
    196    string                                        name,
     193   std::string                                   name,
    197194#endif                                         
    198195#ifdef STATISTICS
     
    221218#ifdef STATISTICS
    222219  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    223   public  : string   statistics_print          (uint32_t depth);
    224220#endif
    225221                                               
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Load_store_unit/src/Load_store_unit_allocation.cpp

    r72 r75  
    2424  void Load_store_unit::allocation (void)
    2525  {
    26     string rename;
    27 
    2826    log_printf(FUNC,Load_store_unit,FUNCTION,"Begin");
    2927
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Execute_unit/Execute_unit/Load_store_unit/src/Load_store_unit_function_speculative_load_commit_transition.cpp

    r72 r75  
    660660#if DEBUG>=DEBUG_TRACE
    661661        // ***** dump store queue
    662         cout << "Dump STORE_QUEUE :" << endl
    663              << "ptr_read : " << toString(static_cast<uint32_t>(reg_STORE_QUEUE_PTR_READ)) << endl;
     662        std::cout << "Dump STORE_QUEUE :" << std::endl
     663                  << "ptr_read : " << toString(static_cast<uint32_t>(reg_STORE_QUEUE_PTR_READ)) << std::endl;
    664664       
    665665        for (uint32_t i=0; i<_param->_size_store_queue; i++)
    666666          {
    667667            uint32_t j = (reg_STORE_QUEUE_PTR_READ+i)%_param->_size_store_queue;
    668             cout << "{" << j << "}" << endl
    669                  << _store_queue[j] << endl;
     668            std::cout << "{" << j << "}" << std::endl
     669                      << _store_queue[j] << std::endl;
    670670          }
    671671
    672672        // ***** dump speculative_access queue
    673         cout << "Dump SPECULATIVE_ACCESS_QUEUE :" << endl;
     673        std::cout << "Dump SPECULATIVE_ACCESS_QUEUE :" << std::endl;
    674674       
    675675        for (uint32_t i=0; i<_param->_size_speculative_access_queue; i++)
    676676          {
    677677            uint32_t j = (*_speculative_access_queue_control)[i];
    678             cout << "{" << j << "}" << endl
    679                  << _speculative_access_queue[j] << endl;
     678            std::cout << "{" << j << "}" << std::endl
     679                 << _speculative_access_queue[j] << std::endl;
    680680          }
    681681
    682682        // ***** dump load queue
    683         cout << "Dump LOAD_QUEUE :" << endl
    684              << "ptr_read_check_priority : " << toString(static_cast<uint32_t>(reg_LOAD_QUEUE_CHECK_PRIORITY)) << endl;
     683        std::cout << "Dump LOAD_QUEUE :" << std::endl
     684             << "ptr_read_check_priority : " << toString(static_cast<uint32_t>(reg_LOAD_QUEUE_CHECK_PRIORITY)) << std::endl;
    685685       
    686686        for (uint32_t i=0; i<_param->_size_load_queue; i++)
    687687          {
    688688            uint32_t j = i;
    689             cout << "{" << j << "}" << endl
    690                  << _load_queue[j] << endl;
     689            std::cout << "{" << j << "}" << std::endl
     690                 << _load_queue[j] << std::endl;
    691691          }
    692692       
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Parameters.h

    r68 r75  
    7777  public : ~Parameters () ;
    7878
    79   public : string msg_error (void);
     79  public : std::string          msg_error (void);
    8080
    81   public :        string   print      (uint32_t depth);
    82   public : friend ostream& operator<< (ostream& output_stream,
    83                                        morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::read_queue::Parameters & x);
     81  public :        std::string   print      (uint32_t depth);
     82  public : friend std::ostream& operator<< (std::ostream& output_stream,
     83                                            morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::read_queue::Parameters & x);
    8484  };
    8585
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Read_queue.h

    r66 r75  
    2121#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Parameters.h"
    2222#ifdef STATISTICS
    23 #include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Statistics.h"
     23#include "Behavioural/include/Stat.h"
    2424#endif
    2525#include "Behavioural/include/Component.h"
     
    2727#include "Behavioural/include/Vhdl.h"
    2828#endif
    29 
    30 using namespace std;
    3129
    3230namespace morpheo {
     
    6058  public    : Tspecial_address_t _num_reg_re   ;
    6159
    62     friend ostream& operator<< (ostream& output_stream,
     60    friend std::ostream& operator<< (std::ostream& output_stream,
    6361                                morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::read_queue::Tread_queue_entry_t & x)
    6462    {
    65       output_stream << " * _context_id    : " << toString(x._context_id   ) << endl
    66                     << " * _front_end_id  : " << toString(x._front_end_id ) << endl
    67                     << " * _ooo_engine_id : " << toString(x._ooo_engine_id) << endl
    68                     << " * _rob_id        : " << toString(x._rob_id       ) << endl
    69                     << " * _operation     : " << toString(x._operation    ) << endl
    70                     << " * _type          : " << toString(x._type         ) << endl
    71                     << " * _has_immediat  : " << toString(x._has_immediat ) << endl
    72                     << " * _immediat      : " << toString(x._immediat     ) << endl
    73                     << " * _read_ra       : " << toString(x._read_ra      ) << endl
    74                     << " * _num_reg_ra    : " << toString(x._num_reg_ra   ) << endl
    75                     << " * _read_rb       : " << toString(x._read_rb      ) << endl
    76                     << " * _num_reg_rb    : " << toString(x._num_reg_rb   ) << endl
    77                     << " * _read_rc       : " << toString(x._read_rc      ) << endl
    78                     << " * _num_reg_rc    : " << toString(x._num_reg_rc   ) << endl
    79                     << " * _write_rd      : " << toString(x._write_rd     ) << endl
    80                     << " * _num_reg_rd    : " << toString(x._num_reg_rd   ) << endl
    81                     << " * _write_re      : " << toString(x._write_re     ) << endl
    82                     << " * _num_reg_re    : " << toString(x._num_reg_re   ) << endl;
     63      output_stream << " * _context_id    : " << toString(x._context_id   ) << std::endl
     64                    << " * _front_end_id  : " << toString(x._front_end_id ) << std::endl
     65                    << " * _ooo_engine_id : " << toString(x._ooo_engine_id) << std::endl
     66                    << " * _rob_id        : " << toString(x._rob_id       ) << std::endl
     67                    << " * _operation     : " << toString(x._operation    ) << std::endl
     68                    << " * _type          : " << toString(x._type         ) << std::endl
     69                    << " * _has_immediat  : " << toString(x._has_immediat ) << std::endl
     70                    << " * _immediat      : " << toString(x._immediat     ) << std::endl
     71                    << " * _read_ra       : " << toString(x._read_ra      ) << std::endl
     72                    << " * _num_reg_ra    : " << toString(x._num_reg_ra   ) << std::endl
     73                    << " * _read_rb       : " << toString(x._read_rb      ) << std::endl
     74                    << " * _num_reg_rb    : " << toString(x._num_reg_rb   ) << std::endl
     75                    << " * _read_rc       : " << toString(x._read_rc      ) << std::endl
     76                    << " * _num_reg_rc    : " << toString(x._num_reg_rc   ) << std::endl
     77                    << " * _write_rd      : " << toString(x._write_rd     ) << std::endl
     78                    << " * _num_reg_rd    : " << toString(x._num_reg_rd   ) << std::endl
     79                    << " * _write_re      : " << toString(x._write_re     ) << std::endl
     80                    << " * _num_reg_re    : " << toString(x._num_reg_re   ) << std::endl;
    8381
    8482      return output_stream;
     
    156154    }
    157155
    158     friend ostream& operator<< (ostream& output_stream,
     156    friend std::ostream& operator<< (std::ostream& output_stream,
    159157                                morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::read_queue::Tread_queue_head_entry_t & x)
    160158    {
    161       output_stream << " * _context_id    : " << x._context_id   << endl
    162                     << " * _front_end_id  : " << x._front_end_id << endl
    163                     << " * _ooo_engine_id : " << x._ooo_engine_id<< endl
    164                     << " * _rob_id        : " << x._rob_id       << endl
    165                     << " * _operation     : " << x._operation    << endl
    166                     << " * _type          : " << x._type         << endl
    167                     << " * _has_immediat  : " << x._has_immediat << endl
    168                     << " * _immediat      : " << x._immediat     << endl
    169                     << " * _read_ra       : " << x._read_ra      << endl
    170                     << " * _read_ra_val   : " << x._read_ra_val  << endl
    171                     << " * _num_reg_ra    : " << x._num_reg_ra   << endl
    172                     << " * _data_ra_val   : " << x._data_ra_val  << endl
    173                     << " * _data_ra       : " << x._data_ra      << endl
    174                     << " * _read_rb       : " << x._read_rb      << endl
    175                     << " * _read_rb_val   : " << x._read_rb_val  << endl
    176                     << " * _num_reg_rb    : " << x._num_reg_rb   << endl
    177                     << " * _data_rb_val   : " << x._data_rb_val  << endl
    178                     << " * _data_rb       : " << x._data_rb      << endl
    179                     << " * _read_rc       : " << x._read_rc      << endl
    180                     << " * _read_rc_val   : " << x._read_rc_val  << endl
    181                     << " * _num_reg_rc    : " << x._num_reg_rc   << endl
    182                     << " * _data_rc_val   : " << x._data_rc_val  << endl
    183                     << " * _data_rc       : " << x._data_rc      << endl
    184                     << " * _write_rd      : " << x._write_rd     << endl
    185                     << " * _num_reg_rd    : " << x._num_reg_rd   << endl
    186                     << " * _write_re      : " << x._write_re     << endl
    187                     << " * _num_reg_re    : " << x._num_reg_re   << endl;
     159      output_stream << " * _context_id    : " << x._context_id   << std::endl
     160                    << " * _front_end_id  : " << x._front_end_id << std::endl
     161                    << " * _ooo_engine_id : " << x._ooo_engine_id<< std::endl
     162                    << " * _rob_id        : " << x._rob_id       << std::endl
     163                    << " * _operation     : " << x._operation    << std::endl
     164                    << " * _type          : " << x._type         << std::endl
     165                    << " * _has_immediat  : " << x._has_immediat << std::endl
     166                    << " * _immediat      : " << x._immediat     << std::endl
     167                    << " * _read_ra       : " << x._read_ra      << std::endl
     168                    << " * _read_ra_val   : " << x._read_ra_val  << std::endl
     169                    << " * _num_reg_ra    : " << x._num_reg_ra   << std::endl
     170                    << " * _data_ra_val   : " << x._data_ra_val  << std::endl
     171                    << " * _data_ra       : " << x._data_ra      << std::endl
     172                    << " * _read_rb       : " << x._read_rb      << std::endl
     173                    << " * _read_rb_val   : " << x._read_rb_val  << std::endl
     174                    << " * _num_reg_rb    : " << x._num_reg_rb   << std::endl
     175                    << " * _data_rb_val   : " << x._data_rb_val  << std::endl
     176                    << " * _data_rb       : " << x._data_rb      << std::endl
     177                    << " * _read_rc       : " << x._read_rc      << std::endl
     178                    << " * _read_rc_val   : " << x._read_rc_val  << std::endl
     179                    << " * _num_reg_rc    : " << x._num_reg_rc   << std::endl
     180                    << " * _data_rc_val   : " << x._data_rc_val  << std::endl
     181                    << " * _data_rc       : " << x._data_rc      << std::endl
     182                    << " * _write_rd      : " << x._write_rd     << std::endl
     183                    << " * _num_reg_rd    : " << x._num_reg_rd   << std::endl
     184                    << " * _write_re      : " << x._write_re     << std::endl
     185                    << " * _num_reg_re    : " << x._num_reg_re   << std::endl;
    188186
    189187      return output_stream;
     
    198196    // -----[ fields ]----------------------------------------------------
    199197    // Parameters
    200   protected : const string                     _name;
     198  protected : const std::string                _name;
    201199  protected : const Parameters               * _param;
    202200
    203201#ifdef STATISTICS
    204   private   : Statistics                     * _stat;
     202  public    : Stat                           * _stat;
    205203#endif
    206204
     
    302300    // ~~~~~[ Register ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    303301  protected : Tread_queue_head_entry_t       * _queue_head;
    304   protected : queue<Tread_queue_entry_t *>   * _queue;
     302  protected : std::queue<Tread_queue_entry_t *>   * _queue;
    305303
    306304    // ~~~~~[ Internal ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
     
    328326                                              sc_module_name                              name,
    329327#else                                         
    330                                               string                                      name,
     328                                              std::string                                 name,
    331329#endif                                         
    332330#ifdef STATISTICS
     
    349347#endif                                         
    350348#ifdef STATISTICS
    351   public  : string   statistics                (uint32_t depth);
     349  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    352350#endif
    353351                                               
     
    357355  private : void     vhdl_body                 (Vhdl * & vhdl);
    358356#endif                                         
    359                                                
    360 #ifdef VHDL_TESTBENCH                         
    361   private : void     vhdl_testbench_transition (void);
     357                       
     358#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     359  private : void     end_cycle                (void);
    362360#endif
    363361  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Parameters_msg_error.cpp

    r68 r75  
    88#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Parameters.h"
    99#include <sstream>
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    2019
    2120
    22   string Parameters::msg_error(void)
     21  std::string Parameters::msg_error(void)
    2322  {
    2423    log_printf(FUNC,Read_queue,"msg_error","Begin");
    2524
    26     string msg = "";
     25    std::string msg = "";
    2726
    2827//     if (_size_queue < 2)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Parameters_print.cpp

    r66 r75  
    88#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    2019
    2120
    22   string Parameters::print (uint32_t depth)
     21  std::string Parameters::print (uint32_t depth)
    2322  {
    2423    log_printf(FUNC,Read_queue,"print","Begin");
     
    4746  };
    4847
    49   ostream& operator<< (ostream& output_stream ,
    50                        morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::read_queue::Parameters & x)
     48  std::ostream& operator<< (std::ostream& output_stream ,
     49                            morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::read_queue::Parameters & x)
    5150  {
    5251    output_stream << x.print(0);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue.cpp

    r68 r75  
    3939
    4040#ifdef STATISTICS
    41     log_printf(INFO,Read_queue,"Read_queue","Allocation of statistics");
    42 
    43     // Allocation of statistics
    44     _stat = new Statistics (static_cast<string>(_name),
    45                             param_statistics          ,
    46                             param);
     41    log_printf(INFO,Read_queue,FUNCTION,"Allocation of statistics");
     42   
     43    statistics_declaration(param_statistics);
    4744#endif
    4845
     
    217214    log_printf(INFO,Read_queue,"~Read_queue","Generate Statistics file");
    218215
    219     _stat->generate_file(statistics(0));
    220    
    221216    delete _stat;
    222217#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_allocation.cpp

    r68 r75  
    2121  void Read_queue::allocation (void)
    2222  {
    23     string rename;
    24 
    2523    log_printf(FUNC,Read_queue,"allocation","Begin");
    2624
     
    238236
    239237    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    240     _queue      = new queue<Tread_queue_entry_t *>;
     238    _queue      = new std::queue<Tread_queue_entry_t *>;
    241239    _queue_head = new Tread_queue_head_entry_t;
    242240
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_end_cycle.cpp

    r59 r75  
    1 #ifdef VHDL_TESTBENCH
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    22/*
    33 * $Id$
     
    1919
    2020
    21   void Read_queue::vhdl_testbench_transition ()
     21  void Read_queue::end_cycle ()
    2222  {
    2323    log_printf(FUNC,Read_queue,"vhdl_testbench_transition","Begin");
    2424
     25#ifdef STATISTICS
     26    _stat->end_cycle();
     27#endif   
     28
     29#ifdef VHDL_TESTBENCH
    2530    // Evaluation before read the ouput signal
    26 //     sc_start(0);
    27 
     31//  sc_start(0);
    2832    _interfaces->testbench();
     33#endif
    2934
    3035    log_printf(FUNC,Read_queue,"vhdl_testbench_transition","End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_statistics_declaration.cpp

    r59 r75  
    1818namespace read_queue {
    1919
     20#undef  FUNCTION
     21#define FUNCTION "Read_queue::statistics_declaration"
     22  void Read_queue::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
     23  {
     24    log_printf(FUNC,Read_queue,FUNCTION,"Begin");
    2025
    21   string Read_queue::statistics (uint32_t depth)
    22   {
    23     log_printf(FUNC,Read_queue,"statistics","Begin");
    24 
    25     string txt = _stat->print(depth);
     26    _stat = new Stat (static_cast<std::string>(_name),
     27                      "Read_queue",
     28                      param_statistics);
    2629   
    27     log_printf(FUNC,Read_queue,"statistics","End");
    28 
    29     return txt;
     30    log_printf(FUNC,Read_queue,FUNCTION,"End");
    3031  };
    3132
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_transition.cpp

    r68 r75  
    138138      }
    139139
    140 #ifdef STATISTICS
    141     _stat->add();
    142 #endif   
    143 
    144 #ifdef VHDL_TESTBENCH
    145     vhdl_testbench_transition ();
     140#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     141    end_cycle ();
    146142#endif
    147143
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_vhdl.cpp

    r68 r75  
    3535       );
    3636   
    37     string queue_name = _name + "_queue";
     37    std::string queue_name = _name + "_queue";
    3838    queue = new morpheo::behavioural::generic::queue::Queue
    3939      (queue_name.c_str()
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Read_queue/src/Read_queue_vhdl_body.cpp

    r68 r75  
    208208      for (int32_t i=_param->_nb_gpr_write-1; i>=0 ; i--)
    209209        {
    210           string cmp;
     210          std::string cmp;
    211211         
    212212          if (_param->_have_port_ooo_engine_id)
     
    228228      for (int32_t i=_param->_nb_gpr_write-1; i>=0 ; i--)
    229229        {
    230           string cmp;
     230          std::string cmp;
    231231         
    232232          if (_param->_have_port_ooo_engine_id)
     
    248248      for (int32_t i=_param->_nb_spr_write-1; i>=0 ; i--)
    249249        {
    250           string cmp;
     250          std::string cmp;
    251251         
    252252          if (_param->_have_port_ooo_engine_id)
     
    278278      for (int32_t i=_param->_nb_gpr_write-1; i>=0 ; i--)
    279279        {
    280           string cmp;
     280          std::string cmp;
    281281       
    282282          if (_param->_have_port_ooo_engine_id)
     
    298298      for (int32_t i=_param->_nb_gpr_write-1; i>=0 ; i--)
    299299        {
    300           string cmp;
     300          std::string cmp;
    301301         
    302302          if (_param->_have_port_ooo_engine_id)
     
    318318      for (int32_t i=_param->_nb_spr_write-1; i>=0 ; i--)
    319319        {
    320           string cmp;
     320          std::string cmp;
    321321         
    322322          if (_param->_have_port_ooo_engine_id)
     
    343343      for (int32_t i=_param->_nb_gpr_write-1; i>=0 ; i--)
    344344        {
    345           string cmp;
     345          std::string cmp;
    346346       
    347347          if (_param->_have_port_ooo_engine_id)
     
    365365      for (int32_t i=_param->_nb_gpr_write-1; i>=0 ; i--)
    366366        {
    367           string cmp;
     367          std::string cmp;
    368368       
    369369          if (_param->_have_port_ooo_engine_id)
     
    387387      for (int32_t i=_param->_nb_spr_write-1; i>=0 ; i--)
    388388        {
    389           string cmp;
     389          std::string cmp;
    390390       
    391391          if (_param->_have_port_ooo_engine_id)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/SelfTest/configuration_multi_port_bypass_memory.cfg

    r71 r75  
    8832      32      +1      # size_general_data 
    992       2       +1      # size_special_data 
    10 256     256     *2      # nb_general_register
    11 32      32      +1      # nb_special_register
     1016      16      *2      # nb_general_register
     118       8       +1      # nb_special_register
    12128       8       +1      # nb_operation       
    13134       4       +1      # nb_type           
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/SelfTest/configuration_multi_port_bypass_write.cfg

    r71 r75  
    8832      32      +1      # size_general_data 
    992       2       +1      # size_special_data 
    10 256     256     *2      # nb_general_register
    11 32      32      +1      # nb_special_register
     1016      16      *2      # nb_general_register
     118       8       +1      # nb_special_register
    12128       8       +1      # nb_operation       
    13134       4       +1      # nb_type           
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/SelfTest/configuration_multi_port_retire2.cfg

    r71 r75  
    8832      32      +1      # size_general_data 
    992       2       +1      # size_special_data 
    10 256     256     *2      # nb_general_register
    11 32      32      +1      # nb_special_register
     1018      16      *2      # nb_general_register
     118       8       +1      # nb_special_register
    12128       8       +1      # nb_operation       
    13134       4       +1      # nb_type           
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/SelfTest/configuration_multi_port_retire4.cfg

    r71 r75  
    8832      32      +1      # size_general_data 
    992       2       +1      # size_special_data 
    10 256     256     *2      # nb_general_register
    11 32      32      +1      # nb_special_register
     1016      16      *2      # nb_general_register
     118       8       +1      # nb_special_register
    12128       8       +1      # nb_operation       
    13134       4       +1      # nb_type           
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/SelfTest/configuration_multi_port_write.cfg

    r71 r75  
    8832      32      +1      # size_general_data 
    992       2       +1      # size_special_data 
    10 256     256     *2      # nb_general_register
    11 32      32      +1      # nb_special_register
     1016      16      *2      # nb_general_register
     118       8       +1      # nb_special_register
    12128       8       +1      # nb_operation       
    13134       4       +1      # nb_type           
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/SelfTest/src/test.cpp

    r69 r75  
    99#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/SelfTest/include/test.h"
    1010#include "Common/include/Test.h"
     11#include "Common/include/BitManipulation.h"
    1112
    1213#define NB_ITERATION  2
     
    368369            {
    369370              _gpr_val      [i][j] = ((rand()%100) < percent_registerfile_valid);
    370               _gpr          [i][j] = rand()%((1<<_param->_size_general_data)-1);
     371              _gpr          [i][j] = range<Tgeneral_data_t>(rand(),_param->_size_general_data);
    371372            }
    372373          for (uint32_t i=0; i<_param->_nb_special_register; i++)
    373374            {
    374375              _spr_val      [i][j] = ((rand()%100) < percent_registerfile_valid);
    375               _spr          [i][j] = rand()%(1<<_param->_size_special_data);
     376              _spr          [i][j] = range<Tspecial_data_t>(rand(),_param->_size_special_data);
    376377            }
    377378        }
     
    479480              Tcontext_t         ooo_engine = rand()% _param->_nb_ooo_engine;
    480481              Tcontrol_t         val     = (_gpr_val [num_reg][ooo_engine]== 0)?((rand()%100) < percent_transaction_registerfile):0;
    481               Tgeneral_data_t    data    = rand()%((1<<_param->_size_general_data)-1);
     482              Tgeneral_data_t    data    = range<Tgeneral_data_t>(rand(),_param->_size_general_data);
    482483           
    483484              val = (val and can_gpr_use [ooo_engine][num_reg] and need_gpr [ooo_engine][num_reg]);
     
    505506              Tcontext_t         ooo_engine = rand()% _param->_nb_ooo_engine;
    506507              Tcontrol_t         val     = (_spr_val [num_reg][ooo_engine]== 0)?((rand()%100) < percent_transaction_registerfile):0;
    507               Tspecial_data_t    data    = rand()%(1<<_param->_size_special_data);
     508              Tspecial_data_t    data    = range<Tspecial_data_t>(rand(),_param->_size_special_data);
    508509
    509510              val = (val and can_spr_use [ooo_engine][num_reg] and need_spr[ooo_engine][num_reg]);
     
    534535              Tgeneral_address_t gpr_num_reg = rand()% _param->_nb_general_register;
    535536              Tcontrol_t         gpr_val     = (_gpr_val [gpr_num_reg][ooo_engine]== 0)?((rand()%100) < percent_transaction_bypass):0;
    536               Tgeneral_data_t    gpr_data    = rand()%((1<<_param->_size_general_data)-1);
     537              Tgeneral_data_t    gpr_data    = range<Tgeneral_data_t>(rand(),_param->_size_general_data);
    537538             
    538539              gpr_val = (gpr_val and can_gpr_use [ooo_engine][gpr_num_reg] and need_gpr[ooo_engine][gpr_num_reg]);
     
    554555              Tspecial_address_t spr_num_reg = rand()% _param->_nb_special_register;
    555556              Tcontrol_t         spr_val     = (_spr_val [spr_num_reg][ooo_engine]== 0)?((rand()%100) < percent_transaction_bypass):0;
    556               Tspecial_data_t    spr_data    = rand()%(1<<_param->_size_special_data);
     557              Tspecial_data_t    spr_data    = range<Tspecial_data_t>(rand(),_param->_size_special_data);
    557558             
    558559              spr_val = (spr_val and can_spr_use [ooo_engine][spr_num_reg] and need_spr[ooo_engine][spr_num_reg]);
     
    582583              Tgeneral_address_t num_reg = rand()% _param->_nb_general_register;
    583584              Tcontrol_t         val     = (_gpr_val [num_reg][ooo_engine]== 0)?((rand()%100) < percent_transaction_bypass):0;
    584               Tgeneral_data_t    data    = rand()%((1<<_param->_size_general_data)-1);
     585              Tgeneral_data_t    data    = range<Tgeneral_data_t>(rand(),_param->_size_general_data);
    585586             
    586587              val = (val and can_gpr_use [ooo_engine][num_reg] and need_gpr [ooo_engine][num_reg]);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/include/Parameters.h

    r69 r75  
    8585  public : ~Parameters () ;
    8686
    87   public : string msg_error (void);
     87  public :        std::string   msg_error (void);
    8888
    89   public :        string   print      (uint32_t depth);
    90   public : friend ostream& operator<< (ostream& output_stream,
    91                                        morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::reservation_station::Parameters & x);
     89  public :        std::string   print      (uint32_t depth);
     90  public : friend std::ostream& operator<< (std::ostream& output_stream,
     91                                            morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::reservation_station::Parameters & x);
    9292  };
    9393
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/include/Reservation_station.h

    r69 r75  
    2323#include "Behavioural/include/Types.h"
    2424#ifdef STATISTICS
    25 #include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/include/Statistics.h"
     25#include "Behavioural/include/Stat.h"
    2626#endif
    2727#include "Behavioural/include/Component.h"
     
    2929#include "Behavioural/include/Vhdl.h"
    3030#endif
    31 
    32 using namespace std;
    33 using namespace morpheo::behavioural::generic::queue_control;
    3431
    3532namespace morpheo {
     
    6966  public    : Tspecial_address_t _num_reg_re  ;
    7067
    71     friend ostream& operator<< (ostream& output_stream,
     68    friend std::ostream& operator<< (std::ostream& output_stream,
    7269                                morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::reservation_station::Treservation_station_entry_t & x)
    7370    {
    74       output_stream << " * _context_id     : " << toString(x._context_id     ) << endl
    75                     << " * _front_end_id   : " << toString(x._front_end_id   ) << endl
    76                     << " * _ooo_engine_id  : " << toString(x._ooo_engine_id  ) << endl
    77                     << " * _rob_id         : " << toString(x._rob_id         ) << endl
    78                     << " * _operation      : " << toString(x._operation      ) << endl
    79                     << " * _type           : " << toString(x._type           ) << endl
    80                     << " * _has_immediat   : " << toString(x._has_immediat   ) << endl
    81                     << " * _immediat       : " << toString(x._immediat       ) << endl
    82 //                  << " * _read_ra        : " << toString(x._read_ra        ) << endl
    83                     << " * _num_reg_ra     : " << toString(x._num_reg_ra     ) << endl
    84                     << " * _data_ra_val    : " << toString(x._data_ra_val    ) << endl
    85                     << " * _data_ra        : " << toString(x._data_ra        ) << endl
    86 //                  << " * _read_rb        : " << toString(x._read_rb        ) << endl
    87                     << " * _num_reg_rb     : " << toString(x._num_reg_rb     ) << endl
    88                     << " * _data_rb_val    : " << toString(x._data_rb_val    ) << endl
    89                     << " * _data_rb        : " << toString(x._data_rb        ) << endl
    90 //                  << " * _read_rc        : " << toString(x._read_rc        ) << endl
    91                     << " * _num_reg_rc     : " << toString(x._num_reg_rc     ) << endl
    92                     << " * _data_rc_val    : " << toString(x._data_rc_val    ) << endl
    93                     << " * _data_rc        : " << toString(x._data_rc        ) << endl
    94                     << " * _write_rd       : " << toString(x._write_rd       ) << endl
    95                     << " * _num_reg_rd     : " << toString(x._num_reg_rd     ) << endl
    96                     << " * _write_re       : " << toString(x._write_re       ) << endl
    97                     << " * _num_reg_re     : " << toString(x._num_reg_re     ) << endl;
     71      output_stream << " * _context_id     : " << toString(x._context_id     ) << std::endl
     72                    << " * _front_end_id   : " << toString(x._front_end_id   ) << std::endl
     73                    << " * _ooo_engine_id  : " << toString(x._ooo_engine_id  ) << std::endl
     74                    << " * _rob_id         : " << toString(x._rob_id         ) << std::endl
     75                    << " * _operation      : " << toString(x._operation      ) << std::endl
     76                    << " * _type           : " << toString(x._type           ) << std::endl
     77                    << " * _has_immediat   : " << toString(x._has_immediat   ) << std::endl
     78                    << " * _immediat       : " << toString(x._immediat       ) << std::endl
     79//                  << " * _read_ra        : " << toString(x._read_ra        ) << std::endl
     80                    << " * _num_reg_ra     : " << toString(x._num_reg_ra     ) << std::endl
     81                    << " * _data_ra_val    : " << toString(x._data_ra_val    ) << std::endl
     82                    << " * _data_ra        : " << toString(x._data_ra        ) << std::endl
     83//                  << " * _read_rb        : " << toString(x._read_rb        ) << std::endl
     84                    << " * _num_reg_rb     : " << toString(x._num_reg_rb     ) << std::endl
     85                    << " * _data_rb_val    : " << toString(x._data_rb_val    ) << std::endl
     86                    << " * _data_rb        : " << toString(x._data_rb        ) << std::endl
     87//                  << " * _read_rc        : " << toString(x._read_rc        ) << std::endl
     88                    << " * _num_reg_rc     : " << toString(x._num_reg_rc     ) << std::endl
     89                    << " * _data_rc_val    : " << toString(x._data_rc_val    ) << std::endl
     90                    << " * _data_rc        : " << toString(x._data_rc        ) << std::endl
     91                    << " * _write_rd       : " << toString(x._write_rd       ) << std::endl
     92                    << " * _num_reg_rd     : " << toString(x._num_reg_rd     ) << std::endl
     93                    << " * _write_re       : " << toString(x._write_re       ) << std::endl
     94                    << " * _num_reg_re     : " << toString(x._num_reg_re     ) << std::endl;
    9895
    9996      return output_stream;
     
    109106    // -----[ fields ]----------------------------------------------------
    110107    // Parameters
    111   protected : const string       _name;
     108  protected : const std::string       _name;
    112109
    113110  protected : const Parameters * _param;
    114 //#ifdef STATISTICS
    115 //  protected : const morpheo::behavioural::Parameters_Statistics * _param_statistics;
    116 //#endif
    117 
    118 #ifdef STATISTICS
    119   private   : Statistics                     * _stat;
     111
     112#ifdef STATISTICS
     113  public    : Stat                           * _stat;
    120114#endif
    121115
     
    233227  public  :          Reservation_station              (
    234228#ifdef SYSTEMC
    235                                               sc_module_name                                name,
     229                                                       sc_module_name                                name,
    236230#else                                         
    237                                               string                                        name,
     231                                                       string                                        name,
    238232#endif                                         
    239233#ifdef STATISTICS
    240                                               morpheo::behavioural::Parameters_Statistics * param_statistics,
    241 #endif
    242                                               Parameters                                  * param );
     234                                                       morpheo::behavioural::Parameters_Statistics * param_statistics,
     235#endif
     236                                                       Parameters                                  * param );
    243237  public  :          ~Reservation_station             (void);
    244238                                               
     
    250244  public  : void     genMoore                  (void);
    251245#endif                                         
    252 #ifdef STATISTICS
    253   public  : string   statistics                (uint32_t depth);
     246
     247#ifdef STATISTICS
     248  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    254249#endif
    255250                                               
     
    260255#endif                                         
    261256                                               
     257#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    262258  private : void     end_cycle                 (void);
     259#endif
    263260  };
    264261
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/src/Parameters_msg_error.cpp

    r69 r75  
    88#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/include/Parameters.h"
    99#include <sstream>
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    2221#undef  FUNCTION
    2322#define FUNCTION "Reservation_station::msg_error"
    24   string Parameters::msg_error(void)
     23  std::string Parameters::msg_error(void)
    2524  {
    2625    log_printf(FUNC,Reservation_station,FUNCTION,"Begin");
    2726
    28     string msg = "";
     27    std::string msg = "";
    2928
    3029//     if (_size_queue < 2)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/src/Parameters_print.cpp

    r69 r75  
    88#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    2221#undef  FUNCTION
    2322#define FUNCTION "Reservation_station::print"
    24   string Parameters::print (uint32_t depth)
     23  std::string Parameters::print (uint32_t depth)
    2524  {
    2625    log_printf(FUNC,Reservation_station,FUNCTION,"Begin");
     
    5453#undef  FUNCTION
    5554#define FUNCTION "Reservation_station::operator<<"
    56   ostream& operator<< (ostream& output_stream ,
    57                        morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::reservation_station::Parameters & x)
     55  std::ostream& operator<< (std::ostream& output_stream ,
     56                            morpheo::behavioural::core::multi_execute_loop::execute_loop::multi_read_unit::read_unit::reservation_station::Parameters & x)
    5857  {
    5958    log_printf(FUNC,Reservation_station,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/src/Reservation_station.cpp

    r55 r75  
    3131                              _name              (name)
    3232                              ,_param            (param)
    33 // #ifdef STATISTICS
    34 //                            ,_param_statistics (param_statistics)
    35 // #endif
    3633  {
    3734    log_printf(FUNC,Reservation_station,FUNCTION,"Begin");
     
    4643    log_printf(INFO,Reservation_station,FUNCTION,"Allocation of statistics");
    4744
    48     // Allocation of statistics
    49     _stat = new Statistics (static_cast<string>(_name),
    50                             param_statistics          ,
    51                             param);
     45    statistics_declaration(param_statistics);
    5246#endif
    5347
     
    9589    log_printf(INFO,Reservation_station,FUNCTION,"Generate Statistics file");
    9690
    97     _stat->generate_file(statistics(0));
    98    
    9991    delete _stat;
    10092#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/src/Reservation_station_allocation.cpp

    r69 r75  
    2424  void Reservation_station::allocation (void)
    2525  {
    26     string rename;
    27 
    2826    log_printf(FUNC,Reservation_station,FUNCTION,"Begin");
    2927
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/src/Reservation_station_end_cycle.cpp

    r69 r75  
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    12/*
    23 * $Id$
     
    2526
    2627#ifdef STATISTICS
    27     _stat->add();
     28    _stat->end_cycle();
    2829#endif   
    2930
     
    4546}; // end namespace behavioural
    4647}; // end namespace morpheo             
     48#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/src/Reservation_station_statistics_declaration.cpp

    r59 r75  
    1818namespace reservation_station {
    1919
    20 
    2120#undef  FUNCTION
    22 #define FUNCTION "Reservation_station::statistics"
    23   string Reservation_station::statistics (uint32_t depth)
     21#define FUNCTION "Reservation_station::statistics_declaration"
     22  void Reservation_station::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
    2423  {
    2524    log_printf(FUNC,Reservation_station,FUNCTION,"Begin");
    2625
    27     string txt = _stat->print(depth);
     26    _stat = new Stat (static_cast<std::string>(_name),
     27                      "Reservation_station",
     28                      param_statistics);
    2829   
    2930    log_printf(FUNC,Reservation_station,FUNCTION,"End");
    30 
    31     return txt;
    3231  };
    3332
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Read_unit/Read_unit/Reservation_station/src/Reservation_station_vhdl_body.cpp

    r70 r75  
    2222  void Reservation_station::vhdl_body (Vhdl * & vhdl)
    2323  {
    24     string range_retire, range_insert;
     24    std::string range_retire, range_insert;
    2525
    2626    if (_param->_size_queue == 1)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/include/Execute_queue.h

    r74 r75  
    137137   
    138138    // ~~~~~[ Register ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    139   private   : queue<execute_queue_entry_t *> * _queue;
     139  private   : std::queue<execute_queue_entry_t *> * _queue;
    140140    // ~~~~~[ Internal ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    141141  private   : Tcontrol_t                       internal_EXECUTE_QUEUE_IN_ACK ;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue_allocation.cpp

    r73 r75  
    2424  void Execute_queue::allocation (void)
    2525  {
    26     string rename;
    27 
    2826    log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
    2927
     
    9896    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    9997
    100      _queue = new queue<execute_queue_entry_t *>;
     98     _queue = new std::queue<execute_queue_entry_t *>;
    10199
    102100#ifdef POSITION
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue_statistics_declaration.cpp

    r73 r75  
    2525    log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
    2626
    27     _stat = new Stat (static_cast<string>(_name),
     27    _stat = new Stat (static_cast<std::string>(_name),
    2828                      "Execute_queue",
    2929                      param_statistics);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/include/Write_queue.h

    r74 r75  
    179179
    180180    // ~~~~~[ Register ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    181   protected : list<write_queue_entry_t *> * _queue;
     181  protected : std::list<write_queue_entry_t *> * _queue;
    182182
    183183    // ~~~~~[ Internal ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue_allocation.cpp

    r74 r75  
    2323  void Write_queue::allocation (void)
    2424  {
    25     string rename;
    26 
    2725    log_printf(FUNC,Write_queue,FUNCTION,"Begin");
    2826
     
    139137    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    140138
    141   _queue = new list<write_queue_entry_t *>;
     139     _queue = new std::list<write_queue_entry_t *>;
    142140
    143141#ifdef POSITION
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue_genMoore.cpp

    r73 r75  
    8686    // -----[ Interface "bypass_write" ]----------------------------------
    8787    {
    88       list<write_queue_entry_t *>::iterator it = _queue->begin();
     88      std::list<write_queue_entry_t *>::iterator it = _queue->begin();
    8989      for (uint32_t i=0; i<_param->_nb_bypass_write; i++)
    9090        {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Write_queue/src/Write_queue_statistics_declaration.cpp

    r73 r75  
    2525    log_printf(FUNC,Write_queue,FUNCTION,"Begin");
    2626
    27     _stat = new Stat (static_cast<string>(_name),
     27    _stat = new Stat (static_cast<std::string>(_name),
    2828                      "Write_queue",
    2929                      param_statistics);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/src/Write_unit_allocation.cpp

    r74 r75  
    145145    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    146146
    147      string name;
     147     std::string name;
    148148
    149149     {
     
    184184     {
    185185       name = _name+"_write_queue";
    186        cout << "Instance : " << name << endl;
     186       std::cout << "Instance : " << name << std::endl;
    187187       
    188188#ifdef POSITION
     
    196196
    197197#ifdef POSITION
    198        _component->interface_map (name ,"WRITE_QUEUE_IN",
    199                                   _name,"WRITE_UNIT_IN");
     198       _component->interface_map (name ,"write_queue_in",
     199                                  _name,"write_unit_in");
    200200#endif
    201201
     
    226226         {
    227227#ifdef POSITION
    228            _component->interface_map (name ,"WRITE_QUEUE_OUT",
    229                                       _name+"_execute_queue", "EXECUTE_QUEUE_IN");
     228           _component->interface_map (name ,"write_queue_out",
     229                                      _name+"_execute_queue", "execute_queue_in");
    230230#endif
    231231
     
    250250         {
    251251#ifdef POSITION
    252            _component->interface_map (name ,"WRITE_QUEUE_OUT",
    253                                       _name,"WRITE_UNIT_OUT");
     252           _component->interface_map (name ,"write_queue_out",
     253                                      _name,"write_unit_out");
    254254#endif
    255255
     
    275275         {
    276276#ifdef POSITION
    277            _component->interface_map (name ,"GPR_WRITE_"+toString(i),
    278                                       _name,"GPR_WRITE_"+toString(i));
     277           _component->interface_map (name ,"gpr_write_"+toString(i),
     278                                      _name,"gpr_write_"+toString(i));
    279279#endif     
    280280           
     
    290290         {
    291291#ifdef POSITION
    292            _component->interface_map (name ,"SPR_WRITE_"+toString(i),
    293                                       _name,"SPR_WRITE_"+toString(i));
     292           _component->interface_map (name ,"spr_write_"+toString(i),
     293                                      _name,"spr_write_"+toString(i));
    294294#endif     
    295295           
     
    306306         {
    307307#ifdef POSITION
    308            _component->interface_map (name ,"BYPASS_WRITE_"+toString(i),
    309                                       _name,"BYPASS_WRITE_"+toString(i));
     308           _component->interface_map (name ,"bypass_write_"+toString(i),
     309                                      _name,"bypass_write_"+toString(i));
    310310#endif     
    311311
     
    324324       {
    325325         name = _name+"_execute_queue";
    326          cout << "Instance : " << name << endl;
     326         std::cout << "Instance : " << name << std::endl;
    327327         
    328328#ifdef POSITION
     
    336336
    337337#ifdef POSITION
    338          _component->interface_map (name ,"WRITE_QUEUE_IN",
    339                                     _name+"_write_queue","WRITE_UNIT_IN");
     338         _component->interface_map (name ,"execute_queue_in",
     339                                    _name+"_write_queue","write_queue_in");
    340340#endif
    341341
     
    358358
    359359#ifdef POSITION
    360            _component->interface_map (name ,"EXECUTE_QUEUE_OUT",
    361                                       _name,"WRITE_UNIT_OUT_");
     360           _component->interface_map (name ,"execute_queue_out",
     361                                      _name,"write_unit_out");
    362362#endif
    363363
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/src/Write_unit_statistics_declaration.cpp

    r74 r75  
    2424    log_printf(FUNC,Write_unit,FUNCTION,"Begin");
    2525
    26     _stat       = new Stat (static_cast<string>(_name),
     26    _stat       = new Stat (static_cast<std::string>(_name),
    2727                            "Write_unit",
    2828                            param_statistics);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Makefile.deps

    r74 r75  
    4242#-----[ Rules ]--------------------------------------------
    4343
    44 #.NOTPARALLEL                   : Register_unit_library Register_unit_library_clean     
     44.NOTPARALLEL                    : Register_unit_library Register_unit_library_clean     
    4545
    4646Register_unit_library           : $(Register_unit_DEPENDENCIES)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/include/Parameters.h

    r60 r75  
    5050  public : ~Parameters () ;
    5151
    52   public : string msg_error (void);
     52  public :        std::string   msg_error (void);
    5353
    54   public :        string   print      (uint32_t depth);
    55   public : friend ostream& operator<< (ostream& output_stream,
    56                                        morpheo::behavioural::core::multi_execute_loop::execute_loop::register_unit::register_unit_glue::Parameters & x);
     54  public :        std::string   print      (uint32_t depth);
     55  public : friend std::ostream& operator<< (std::ostream& output_stream,
     56                                            morpheo::behavioural::core::multi_execute_loop::execute_loop::register_unit::register_unit_glue::Parameters & x);
    5757  };
    5858
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/include/Register_unit_Glue.h

    r61 r75  
    2020#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/include/Parameters.h"
    2121#ifdef STATISTICS
    22 #include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/include/Statistics.h"
     22#include "Behavioural/include/Stat.h"
    2323#endif
    2424#include "Behavioural/include/Component.h"
     
    2727#endif
    2828#include "Behavioural/include/Usage.h"
    29 
    30 using namespace std;
    3129
    3230namespace morpheo {
     
    4745    // -----[ fields ]----------------------------------------------------
    4846    // Parameters
    49   protected : const string       _name;
     47  protected : const std::string       _name;
    5048  protected : const Parameters * _param;
    5149  private   : const Tusage_t     _usage;
    5250
    53 //#ifdef STATISTICS
    54 //  protected : const morpheo::behavioural::Parameters_Statistics * _param_statistics;
    55 //#endif
    56 
    57 #ifdef STATISTICS
    58   private   : Statistics                     * _stat;
     51#ifdef STATISTICS
     52  public    : Stat                           * _stat;
    5953#endif
    6054
     
    169163   sc_module_name                                name,
    170164#else                                         
    171    string                                        name,
     165   std::string                                        name,
    172166#endif                                         
    173167#ifdef STATISTICS
     
    183177                                               
    184178#ifdef SYSTEMC                                 
    185 //#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    186179  public  : void     transition                      (void);
    187180  public  : void     genMealy_gpr_read               (void);
     
    199192  public  : void     genMealy_insert                 (void);
    200193  public  : void     genMealy_retire                 (void);
    201 
    202 //#endif
    203194#endif                                         
    204 #ifdef STATISTICS
    205   public  : string   statistics                (uint32_t depth);
     195
     196#ifdef STATISTICS
     197  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    206198#endif
    207199                                               
     
    212204#endif                                         
    213205                                               
    214 #ifdef VHDL_TESTBENCH                         
    215   private : void     vhdl_testbench_transition (void);
     206#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     207  private : void     end_cycle                (void);
    216208#endif
    217209  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/src/Parameters_msg_error.cpp

    r60 r75  
    99#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/include/Parameters.h"
    1010#include <sstream>
    11 using namespace std;
    1211
    1312namespace morpheo                    {
     
    2221#undef  FUNCTION
    2322#define FUNCTION "Register_unit_Glue::msg_error"
    24   string Parameters::msg_error(void)
     23  std::string Parameters::msg_error(void)
    2524  {
    2625    log_printf(FUNC,Register_unit_Glue,FUNCTION,"Begin");
    2726
    28     string msg = "";
     27    std::string msg = "";
    2928
    3029    return msg;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/src/Parameters_print.cpp

    r60 r75  
    88#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    2120#undef  FUNCTION
    2221#define FUNCTION "Register_unit_Glue::print"
    23   string Parameters::print (uint32_t depth)
     22  std::string Parameters::print (uint32_t depth)
    2423  {
    2524    log_printf(FUNC,Register_unit_Glue,FUNCTION,"Begin");
     
    5655#undef  FUNCTION
    5756#define FUNCTION "Register_unit_Glue::operator<<"
    58   ostream& operator<< (ostream& output_stream ,
    59                        morpheo::behavioural::core::multi_execute_loop::execute_loop::register_unit::register_unit_glue::Parameters & x)
     57  std::ostream& operator<< (std::ostream& output_stream ,
     58                            morpheo::behavioural::core::multi_execute_loop::execute_loop::register_unit::register_unit_glue::Parameters & x)
    6059  {
    6160    log_printf(FUNC,Register_unit_Glue,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/src/Register_unit_Glue.cpp

    r61 r75  
    2424   sc_module_name name,
    2525#else
    26    string name,
     26   std::string name,
    2727#endif
    2828#ifdef STATISTICS
     
    3535    ,_param            (param)
    3636    ,_usage            (usage)
    37 // #ifdef STATISTICS
    38 //                            ,_param_statistics (param_statistics)
    39 // #endif
    4037  {
    4138    log_printf(FUNC,Register_unit_Glue,FUNCTION,"Begin");
     
    4845
    4946    // Allocation of statistics
    50     _stat = new Statistics (static_cast<string>(_name),
    51                             param_statistics          ,
    52                             param);
     47    statistics_declaration(param_statistics);
    5348#endif
    5449
     
    549544    log_printf(INFO,Register_unit_Glue,FUNCTION,"Generate Statistics file");
    550545
    551     _stat->generate_file(statistics(0));
    552546    delete _stat;
    553547#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/src/Register_unit_Glue_allocation.cpp

    r60 r75  
    2222  void Register_unit_Glue::allocation (void)
    2323  {
    24     string rename;
    25 
    2624    log_printf(FUNC,Register_unit_Glue,FUNCTION,"Begin");
    2725
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/src/Register_unit_Glue_end_cycle.cpp

    r60 r75  
    1 #ifdef VHDL_TESTBENCH
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    22/*
    33 * $Id$
     
    1717namespace register_unit_glue {
    1818
    19 
    2019#undef  FUNCTION
    21 #define FUNCTION "Register_unit_Glue::vhdl_testbench_transition"
    22   void Register_unit_Glue::vhdl_testbench_transition ()
     20#define FUNCTION "Register_unit_Glue::end_cycle"
     21void Register_unit_Glue::end_cycle ()
    2322  {
    2423    log_printf(FUNC,Register_unit_Glue,FUNCTION,"Begin");
    2524
     25#ifdef STATISTICS
     26    _stat->end_cycle();
     27#endif   
     28
     29#ifdef VHDL_TESTBENCH
    2630    // Evaluation before read the ouput signal
    27 //     sc_start(0);
    28 
     31//  sc_start(0);
    2932    _interfaces->testbench();
     33#endif
    3034
    3135    log_printf(FUNC,Register_unit_Glue,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/src/Register_unit_Glue_statistics_declaration.cpp

    r60 r75  
    1717namespace register_unit_glue {
    1818
    19 
    2019#undef  FUNCTION
    21 #define FUNCTION "Register_unit_Glue::statistics"
    22   string Register_unit_Glue::statistics (uint32_t depth)
     20#define FUNCTION "Register_unit_Glue::statistics_declaration"
     21  void Register_unit_Glue::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
    2322  {
    2423    log_printf(FUNC,Register_unit_Glue,FUNCTION,"Begin");
    2524
    26     string txt = _stat->print(depth);
     25    _stat = new Stat (static_cast<std::string>(_name),
     26                      "Register_unit_Glue",
     27                      param_statistics);
    2728   
    2829    log_printf(FUNC,Register_unit_Glue,FUNCTION,"End");
    29 
    30     return txt;
    3130  };
    3231
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/Register_unit_Glue/src/Register_unit_Glue_transition.cpp

    r60 r75  
    11#ifdef SYSTEMC
    2 //#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    32/*
    43 * $Id$
     
    2524    log_printf(FUNC,Register_unit_Glue,FUNCTION,"Begin");
    2625
    27 #ifdef STATISTICS
    28     _stat->add();
    29 #endif   
    30 
    31 #ifdef VHDL_TESTBENCH
    32     vhdl_testbench_transition ();
     26#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     27    end_cycle();
    3328#endif
    3429
     
    4540}; // end namespace morpheo             
    4641#endif
    47 //#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/include/Parameters.h

    r60 r75  
    7979  public : ~Parameters () ;
    8080
    81   public : string msg_error (void);
    82 
    83   public :        string   print      (uint32_t depth);
    84   public : friend ostream& operator<< (ostream& output_stream,
    85                                        morpheo::behavioural::core::multi_execute_loop::execute_loop::register_unit::Parameters & x);
     81  public :        std::string   msg_error  (void);
     82  public :        std::string   print      (uint32_t depth);
     83  public : friend std::ostream& operator<< (std::ostream& output_stream,
     84                                            morpheo::behavioural::core::multi_execute_loop::execute_loop::register_unit::Parameters & x);
    8685  };
    8786
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/include/Register_unit.h

    r60 r75  
    2424#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/include/Parameters.h"
    2525#ifdef STATISTICS
    26 #include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/include/Statistics.h"
     26#include "Behavioural/include/Stat.h"
    2727#endif
    2828#include "Behavioural/include/Component.h"
     
    3232#include "Behavioural/include/Usage.h"
    3333
    34 using namespace std;
    35 
    3634namespace morpheo {
    3735namespace behavioural {
    38 
    3936namespace core {
    4037namespace multi_execute_loop {
     
    5047    // -----[ fields ]----------------------------------------------------
    5148    // Parameters
    52   protected : const string       _name;
     49  protected : const std::string       _name;
    5350  protected : const Parameters * _param;
    5451  private   : const Tusage_t     _usage;
    5552
    5653#ifdef STATISTICS
    57   protected : morpheo::behavioural::Parameters_Statistics * _param_statistics;
    58 #endif
    59 
    60 #ifdef STATISTICS
    61   private   : Statistics                     * _stat;
     54  public    : Stat                           * _stat;
    6255#endif
    6356
     
    143136   sc_module_name                                name,
    144137#else                                         
    145    string                                        name,
     138   std::string                                        name,
    146139#endif                                         
    147140#ifdef STATISTICS
     
    153146  public  :          ~Register_unit             (void);
    154147                                               
    155   private : void     allocation                (void);
     148  private : void     allocation                (
     149#ifdef STATISTICS
     150                                                morpheo::behavioural::Parameters_Statistics * param_statistics
     151#else
     152                                                void
     153#endif
     154                                                );
    156155  private : void     deallocation              (void);
    157156                                               
     
    161160//#endif
    162161#endif                                         
    163 #ifdef STATISTICS
    164   public  : string   statistics                (uint32_t depth);
    165 #endif
    166162                                               
    167163#if VHDL                                       
     
    171167#endif                                         
    172168                                               
    173 #ifdef VHDL_TESTBENCH                         
    174   private : void     vhdl_testbench_transition (void);
     169#ifdef STATISTICS
     170  public  : void        statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
     171#endif
     172#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     173  private : void        end_cycle                 (void);
    175174#endif
    176175  };
     176
    177177
    178178}; // end namespace register_unit
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/src/Parameters_msg_error.cpp

    r60 r75  
    66 */
    77
    8 #include "Behavioural/include/Types.h"
    98#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/include/Parameters.h"
    10 #include <sstream>
    11 using namespace std;
    129
    1310namespace morpheo                    {
     
    2118#undef  FUNCTION
    2219#define FUNCTION "Register_unit::msg_error"
    23   string Parameters::msg_error(void)
     20  std::string Parameters::msg_error(void)
    2421  {
    2522    log_printf(FUNC,Register_unit,FUNCTION,"Begin");
    2623
    27     string msg = "";
     24    std::string msg = "";
    2825
    2926    return msg;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/src/Parameters_print.cpp

    r60 r75  
    88#include "Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    2019#undef  FUNCTION
    2120#define FUNCTION "Register_unit::print"
    22   string Parameters::print (uint32_t depth)
     21  std::string Parameters::print (uint32_t depth)
    2322  {
    2423    log_printf(FUNC,Register_unit,FUNCTION,"Begin");
     
    7372#undef  FUNCTION
    7473#define FUNCTION "Register_unit::operator<<"
    75   ostream& operator<< (ostream& output_stream ,
    76                        morpheo::behavioural::core::multi_execute_loop::execute_loop::register_unit::Parameters & x)
     74  std::ostream& operator<< (std::ostream& output_stream ,
     75                            morpheo::behavioural::core::multi_execute_loop::execute_loop::register_unit::Parameters & x)
    7776  {
    7877    log_printf(FUNC,Register_unit,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/src/Register_unit.cpp

    r60 r75  
    3434    ,_param            (param)
    3535    ,_usage            (usage)
    36 #ifdef STATISTICS
    37     ,_param_statistics (param_statistics)
    38 #endif
    3936  {
    4037    log_printf(FUNC,Register_unit,FUNCTION,"Begin");
    4138
    4239    log_printf(INFO,Register_unit,FUNCTION,"Allocation");
    43     allocation ();
     40    allocation (
     41#ifdef STATISTICS
     42                param_statistics
     43#endif
     44                );
    4445
    4546#ifdef STATISTICS
     
    4748
    4849    // Allocation of statistics
    49     _stat = new Statistics (static_cast<string>(_name),
    50                             param_statistics          ,
    51                             param);
     50    statistics_declaration(param_statistics);
    5251#endif
    5352
     
    8483#ifdef STATISTICS
    8584    log_printf(INFO,Register_unit,FUNCTION,"Generate Statistics file");
    86 
    87     _stat->generate_file(statistics(0));
    8885    delete _stat;
    8986#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/src/Register_unit_allocation.cpp

    r65 r75  
    1919#undef  FUNCTION
    2020#define FUNCTION "Register_unit::allocation"
    21   void Register_unit::allocation (void)
     21  void Register_unit::allocation (
     22#ifdef STATISTICS
     23                                  morpheo::behavioural::Parameters_Statistics * param_statistics
     24#else
     25                                  void
     26#endif
     27                                  )
    2228  {
    23     string rename, name_component;
    24 
    2529    log_printf(FUNC,Register_unit,FUNCTION,"Begin");
    2630
     
    249253    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    250254
    251     string name;
     255    std::string name;
    252256     
    253257    component_gpr        = new morpheo::behavioural::generic::registerfile::RegisterFile::RegisterFile * [_param->_nb_ooo_engine];
     
    263267          (name.c_str()
    264268#ifdef STATISTICS
    265            ,_param_statistics
     269           ,param_statistics
    266270#endif
    267271           ,_param->_param_gpr [i]
     
    283287          (name.c_str()
    284288#ifdef STATISTICS
    285            ,_param_statistics
     289           ,param_statistics
    286290#endif
    287291           ,_param->_param_gpr_status [i]
     
    303307          (name.c_str()
    304308#ifdef STATISTICS
    305            ,_param_statistics
     309           ,param_statistics
    306310#endif
    307311           ,_param->_param_spr [i]
     
    322326          (name.c_str()
    323327#ifdef STATISTICS
    324            ,_param_statistics
     328           ,param_statistics
    325329#endif
    326330           ,_param->_param_spr_status [i]
     
    343347      (name.c_str()
    344348#ifdef STATISTICS
    345        ,_param_statistics
     349       ,param_statistics
    346350#endif
    347351       ,_param->_param_glue
     
    358362     
    359363    // ~~~~~[ Instanciation ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
    360 
     364    std::string name_component;
     365   
    361366    for (uint32_t i=0; i<_param->_nb_ooo_engine; i++)
    362367      {
    363368        name_component = _name+"_gpr_"+toString(i);
    364369       
    365         cout << "Instance : " << name_component << endl;
     370        std::cout << "Instance : " << name_component << std::endl;
    366371       
    367372#ifdef POSITION
     
    420425          name_component = _name+"_gpr_status_"+toString(i);
    421426         
    422           cout << "Instance : " << name_component << endl;
     427          std::cout << "Instance : " << name_component << std::endl;
    423428         
    424429#ifdef POSITION
     
    544549        name_component = _name+"_spr_"+toString(i);
    545550       
    546         cout << "Instance : " << name_component << endl;
     551        std::cout << "Instance : " << name_component << std::endl;
    547552       
    548553#ifdef POSITION
     
    601606          name_component = _name+"_spr_status_"+toString(i);
    602607         
    603           cout << "Instance : " << name_component << endl;
     608          std::cout << "Instance : " << name_component << std::endl;
    604609         
    605610#ifdef POSITION
     
    724729      name_component = _name+"_glue";
    725730     
    726       cout << "Instance : " << name_component << endl;
     731      std::cout << "Instance : " << name_component << std::endl;
    727732     
    728733#ifdef POSITION
     
    10341039#endif
    10351040
    1036 //     cout << *_component << endl;
     1041//     std::cout << *_component << std::endl;
    10371042
    10381043    log_printf(FUNC,Register_unit,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/src/Register_unit_end_cycle.cpp

    r60 r75  
    1 #ifdef VHDL_TESTBENCH
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    22/*
    33 * $Id$
     
    1616namespace register_unit {
    1717
    18 
    1918#undef  FUNCTION
    20 #define FUNCTION "Register_unit::vhdl_testbench_transition"
    21   void Register_unit::vhdl_testbench_transition ()
     19#define FUNCTION "Register_unit::end_cycle"
     20void Register_unit::end_cycle ()
    2221  {
    2322    log_printf(FUNC,Register_unit,FUNCTION,"Begin");
    2423
     24#ifdef STATISTICS
     25    _stat->end_cycle();
     26#endif   
     27
     28#ifdef VHDL_TESTBENCH
    2529    // Evaluation before read the ouput signal
    26 //     sc_start(0);
    27 
     30//  sc_start(0);
    2831    _interfaces->testbench();
     32#endif
    2933
    3034    log_printf(FUNC,Register_unit,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/src/Register_unit_statistics_declaration.cpp

    r60 r75  
    1616namespace register_unit {
    1717
    18 
    1918#undef  FUNCTION
    20 #define FUNCTION "Register_unit::statistics"
    21   string Register_unit::statistics (uint32_t depth)
     19#define FUNCTION "Register_unit::statistics_declaration"
     20  void Register_unit::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
    2221  {
    2322    log_printf(FUNC,Register_unit,FUNCTION,"Begin");
    2423
    25     string txt = _stat->print(depth);
    26    
     24    _stat = new Stat (static_cast<std::string>(_name),
     25                      "Register_unit",
     26                      param_statistics);
     27
     28    for (uint32_t i=0; i<_param->_nb_ooo_engine; i++)
     29      {
     30        _stat->add_stat(component_gpr       [i]->_stat);
     31        _stat->add_stat(component_gpr_status[i]->_stat);
     32        _stat->add_stat(component_spr       [i]->_stat);
     33        _stat->add_stat(component_spr_status[i]->_stat);
     34      }
     35    _stat->add_stat(component_glue->_stat);
     36
    2737    log_printf(FUNC,Register_unit,FUNCTION,"End");
    28 
    29     return txt;
    3038  };
    3139
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Register_unit/src/Register_unit_transition.cpp

    r60 r75  
    2424    log_printf(FUNC,Register_unit,FUNCTION,"Begin");
    2525
    26 #ifdef STATISTICS
    27     _stat->add();
    28 #endif   
    29 
    30 #ifdef VHDL_TESTBENCH
    31     vhdl_testbench_transition ();
     26#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     27    end_cycle();
    3228#endif
    3329
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/include/Counter.h

    r71 r75  
    2727#include "Behavioural/include/Component.h"
    2828
    29 using namespace std;
    30 
    3129namespace morpheo {
    3230namespace behavioural {
     
    4139    // -----[ fields ]----------------------------------------------------
    4240    // Parameters
    43   protected : const string     _name;
     41  protected : const std::string     _name;
    4442
    4543  protected : const Parameters _param;
    4644
    4745#ifdef STATISTICS
    48   private   : Stat                           * _stat;
     46  public    : Stat                           * _stat;
    4947#endif
    5048
     
    7573  public  :          Counter              (sc_module_name                              name,
    7674#else                                         
    77   public  :          Counter              (string                                      name,
     75  public  :          Counter              (std::string                                 name,
    7876#endif                                         
    7977#ifdef STATISTICS
     
    9694#ifdef STATISTICS
    9795  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    98   public  : string   statistics_print          (uint32_t depth);
    9996#endif
    10097                                               
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/include/Parameters.h

    r44 r75  
    3232  public : ~Parameters () ;
    3333
    34   public : string msg_error (void);
    35 
    36   public :        string   print      (uint32_t depth);
    37   public : friend ostream& operator<< (ostream& output_stream,
    38                                        morpheo::behavioural::generic::counter::Parameters & x);
     34  public :        std::string   msg_error  (void);
     35  public :        std::string   print      (uint32_t depth);
     36  public : friend std::ostream& operator<< (std::ostream& output_stream,
     37                                            morpheo::behavioural::generic::counter::Parameters & x);
    3938  };
    4039
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/src/Counter_allocation.cpp

    r42 r75  
    1717  void Counter::allocation (void)
    1818  {
    19     string rename;
    20 
    2119    log_printf(FUNC,Counter,"allocation","Begin");
    2220
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/src/Counter_statistics_declaration.cpp

    r71 r75  
    2020    log_printf(FUNC,Counter,FUNCTION,"Begin");
    2121
    22     _stat = new Stat (static_cast<string>(_name),
     22    _stat = new Stat (static_cast<std::string>(_name),
    2323                      "Counter",
    2424                      param_statistics);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/src/Counter_vhdl_body.cpp

    r42 r75  
    2121    for (uint32_t i=0; i<_param._nb_port; i++)
    2222      {
    23         string counter_inc, counter_dec;
     23        std::string counter_inc, counter_dec;
    2424
    2525        if (_param._size_data > 1)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/src/Parameters_msg_error.cpp

    r2 r75  
    99#include "Behavioural/Generic/Counter/include/Types.h"
    1010#include <sstream>
    11 using namespace std;
    1211
    1312namespace morpheo                    {
     
    1716
    1817
    19   string Parameters::msg_error(void)
     18  std::string Parameters::msg_error(void)
    2019  {
    2120    log_printf(FUNC,Counter,"msg_error","Begin");
    2221
    23     string msg = "";
     22    std::string msg = "";
    2423
    2524    if (_size_data < 1)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/src/Parameters_print.cpp

    r3 r75  
    88#include "Behavioural/Generic/Counter/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    1615
    1716
    18   string Parameters::print (uint32_t depth)
     17  std::string Parameters::print (uint32_t depth)
    1918  {
    2019    log_printf(FUNC,Counter,"print","Begin");
     
    3635  };
    3736
    38   ostream& operator<< (ostream& output_stream ,
    39                        morpheo::behavioural::generic::counter::Parameters & x)
     37  std::ostream& operator<< (std::ostream& output_stream ,
     38                            morpheo::behavioural::generic::counter::Parameters & x)
    4039  {
    4140    output_stream << x.print(0);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/include/Parameters.h

    r67 r75  
    3232  public : ~Parameters () ;
    3333
    34   public : string msg_error (void);
     34  public :        std::string   msg_error (void);
    3535
    36   public :        string   print      (uint32_t depth);
    37   public : friend ostream& operator<< (ostream& output_stream,
    38                                        morpheo::behavioural::generic::queue::Parameters & x);
     36  public :        std::string   print      (uint32_t depth);
     37  public : friend std::ostream& operator<< (std::ostream& output_stream,
     38                                            morpheo::behavioural::generic::queue::Parameters & x);
    3939  };
    4040
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/include/Queue.h

    r67 r75  
    2222#include "Behavioural/Generic/Queue/include/Parameters.h"
    2323#ifdef STATISTICS
    24 #include "Behavioural/Generic/Queue/include/Statistics.h"
     24#include "Behavioural/include/Stat.h"
    2525#endif
    2626#include "Behavioural/include/Component.h"
     
    2929#endif
    3030#include "Behavioural/include/Usage.h"
    31 
    32 using namespace std;
    33 using namespace morpheo::behavioural::generic::queue_control;
    3431
    3532namespace morpheo {
     
    4643    // -----[ fields ]----------------------------------------------------
    4744    // Parameters
    48   protected : const string       _name;
     45  protected : const std::string       _name;
    4946  protected : const Parameters * _param;
    5047  private   : const Tusage_t     _usage;
     
    5552
    5653#ifdef STATISTICS
    57   private   : Statistics                     * _stat;
     54  public    : Stat                           * _stat;
    5855#endif
    5956
     
    9996   sc_module_name                                name,
    10097#else                                         
    101    string                                        name,
     98   std::string                                   name,
    10299#endif                                         
    103100#ifdef STATISTICS
     
    116113  public  : void     genMoore                  (void);
    117114#endif                                         
     115
    118116#ifdef STATISTICS
    119   public  : string   statistics                (uint32_t depth);
     117  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    120118#endif
    121119                                               
     
    125123  private : void     vhdl_body                 (Vhdl * & vhdl);
    126124#endif                                         
    127                                                
    128 #ifdef VHDL_TESTBENCH                         
    129   private : void     vhdl_testbench_transition (void);
     125
     126#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     127  private : void     end_cycle                (void);
    130128#endif
    131129  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/src/Parameters_msg_error.cpp

    r67 r75  
    99#include "Behavioural/Generic/Queue/include/Parameters.h"
    1010#include <sstream>
    11 using namespace std;
    12 
    1311namespace morpheo                    {
    1412namespace behavioural {
     
    1917#undef  FUNCTION
    2018#define FUNCTION "Queue::msg_error"
    21   string Parameters::msg_error(void)
     19  std::string Parameters::msg_error(void)
    2220  {
    2321    log_printf(FUNC,Queue,FUNCTION,"Begin");
    2422
    25     string msg = "";
     23    std::string msg = "";
    2624
    2725    return msg;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/src/Parameters_print.cpp

    r67 r75  
    88#include "Behavioural/Generic/Queue/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Queue::print"
    20   string Parameters::print (uint32_t depth)
     19  std::string Parameters::print (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Queue,FUNCTION,"Begin");
     
    3635#undef  FUNCTION
    3736#define FUNCTION "Queue::operator<<"
    38   ostream& operator<< (ostream& output_stream ,
    39                        morpheo::behavioural::generic::queue::Parameters & x)
     37  std::ostream& operator<< (std::ostream& output_stream ,
     38                            morpheo::behavioural::generic::queue::Parameters & x)
    4039  {
    4140    log_printf(FUNC,Queue,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/src/Queue.cpp

    r68 r75  
    3232    ,_param            (param)
    3333    ,_usage            (usage)
    34 // #ifdef STATISTICS
    35 //                            ,_param_statistics (param_statistics)
    36 // #endif
    3734  {
    3835    log_printf(FUNC,Queue,FUNCTION,"Begin");
     
    4643        log_printf(INFO,Queue,FUNCTION,"Allocation of statistics");
    4744       
    48         // Allocation of statistics
    49         _stat = new Statistics (static_cast<string>(_name),
    50                                 param_statistics          ,
    51                                 param);
     45        statistics_declaration(param_statistics);
    5246      }
    5347#endif
     
    10296        log_printf(INFO,Queue,FUNCTION,"Generate Statistics file");
    10397       
    104         _stat->generate_file(statistics(0));
    10598        delete _stat;
    10699      }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/src/Queue_allocation.cpp

    r68 r75  
    1919  void Queue::allocation (void)
    2020  {
    21     string rename;
    22 
    2321    log_printf(FUNC,Queue,FUNCTION,"Begin");
    2422
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/src/Queue_statistics_declaration.cpp

    r67 r75  
    1414namespace queue {
    1515
    16 
    1716#undef  FUNCTION
    18 #define FUNCTION "Queue::statistics"
    19   string Queue::statistics (uint32_t depth)
     17#define FUNCTION "Queue::statistics_declaration"
     18  void Queue::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
    2019  {
    2120    log_printf(FUNC,Queue,FUNCTION,"Begin");
    2221
    23     string txt = _stat->print(depth);
     22    _stat = new Stat (static_cast<std::string>(_name),
     23                      "Queue",
     24                      param_statistics);
    2425   
    2526    log_printf(FUNC,Queue,FUNCTION,"End");
    26 
    27     return txt;
    2827  };
    2928
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue/src/Queue_transition.cpp

    r67 r75  
    5353      }
    5454
    55 #ifdef STATISTICS
    56     _stat->add();
    57 #endif   
    58 
    59 #ifdef VHDL_TESTBENCH
    60     vhdl_testbench_transition ();
     55#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     56    end_cycle ();
    6157#endif
    6258
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue_Control/include/Queue_Control.h

    r56 r75  
    1616#include "Common/include/Debug.h"
    1717
    18 using namespace std;
    19 
    2018namespace morpheo {
    2119namespace behavioural {
     
    2725  private : const uint32_t     _nb_elt_max;
    2826  private : uint32_t           _nb_elt;
    29   private : vector<uint32_t> * _tab;
     27  private : std::vector<uint32_t> * _tab;
    3028   
    3129  public  :          Queue_Control  (uint32_t nb_elt_max);
     
    4543   
    4644  public : uint32_t operator[] (uint32_t);
    47   public : ostream& operator<< (ostream& output_stream);
     45  public : std::ostream& operator<< (std::ostream& output_stream);
    4846  };
    4947 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue_Control/src/Queue_Control.cpp

    r56 r75  
    1313    log_printf(FUNC,Queue_Control,FUNCTION,"Begin");
    1414       
    15     _tab = new vector<uint32_t>;
     15    _tab = new std::vector<uint32_t>;
    1616
    1717    log_printf(FUNC,Queue_Control,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Queue_Control/src/Queue_Control_operator.cpp

    r56 r75  
    88#undef  FUNCTION
    99#define FUNCTION "Queue_Control::operator<<"
    10   ostream& Queue_Control::operator<< (ostream& output_stream)
     10  std::ostream& Queue_Control::operator<< (std::ostream& output_stream)
    1111  {
    1212    log_printf(FUNC,Queue_Control,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Parameters.h

    r62 r75  
    3737  public : ~Parameters () ;
    3838
    39   public : string msg_error (void);
    40 
    41   public :        string   print      (uint32_t depth);
    42   public : friend ostream& operator<< (ostream& output_stream,
    43                                        morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters & x);
     39  public :        std::string   msg_error  (void);
     40  public :        std::string   print      (uint32_t depth);
     41  public : friend std::ostream& operator<< (std::ostream& output_stream,
     42                                            morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters & x);
    4443  };
    4544
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/RegisterFile_Monolithic.h

    r71 r75  
    1616#include "Common/include/ToString.h"
    1717#include <iostream>
    18 using namespace std;
    1918
    2019#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Parameters.h"
     
    2827#endif
    2928#include "Behavioural/include/Usage.h"
    30 
    31 using namespace std;
    3229
    3330namespace morpheo                    {
     
    4643    // -----[ fields ]----------------------------------------------------
    4744    // Parameters
    48   protected : const string       _name;
     45  protected : const std::string       _name;
    4946  protected : const Parameters * _param;
    5047  private   : const Tusage_t     _usage;
    5148
    5249#ifdef STATISTICS
    53   private   : Stat                           * _stat;
     50  public    : Stat                           * _stat;
    5451   
    5552  private   : counter_t                      * _stat_nb_read;
     
    106103   sc_module_name                              name
    107104#else                                         
    108    string                                      name
     105   std::string                                      name
    109106#endif                                         
    110107#ifdef STATISTICS
     
    128125#ifdef STATISTICS
    129126  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    130   public  : string   statistics_print          (uint32_t depth);
    131127#endif                                         
    132128
     
    137133#endif                                         
    138134                                               
     135#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    139136  private : void     end_cycle                 (void);
    140 
     137#endif
    141138  };
    142139
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/Parameters_msg_error.cpp

    r62 r75  
    1010#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Types.h"
    1111#include <sstream>
    12 using namespace std;
    1312
    1413namespace morpheo                    {
     
    1817namespace registerfile_monolithic    {
    1918
    20   string Parameters::msg_error(void)
     19  std::string Parameters::msg_error(void)
    2120  {
    22     string msg = "";
     21    std::string msg = "";
    2322
    2423    if ((8*sizeof(Tdata_t)) < _size_word)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/Parameters_print.cpp

    r55 r75  
    88#include <stdint.h>
    99#include "Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Parameters.h"
    10 #include <sstream>
    11 using namespace std;
     10#include "Behavioural/include/XML.h"
    1211
    1312namespace morpheo                    {
     
    1716namespace registerfile_monolithic    {
    1817
    19   string Parameters::print (uint32_t depth)
     18  std::string Parameters::print (uint32_t depth)
    2019  {
    21     string tab = string(depth,'\t');
    22     ostringstream msg;
    23     msg << tab << "<registerfile_monolithic>" << endl
    24         << tab << "\t<nb_port_read       value=\"" << _nb_port_read       << "\" />" << endl
    25         << tab << "\t<nb_port_write      value=\"" << _nb_port_write      << "\" />" << endl
    26         << tab << "\t<nb_port_read_write value=\"" << _nb_port_read_write << "\" />" << endl
    27         << tab << "\t<nb_word            value=\"" << _nb_word            << "\" />" << endl
    28         << tab << "\t<size_word          value=\"" << _size_word          << "\" />" << endl
    29         << tab << "</registerfile_monolithic>" << endl;
    30    
    31     return msg.str();
     20    XML xml ("registerfile_monolithic");
     21
     22    xml.balise_open("registerfile_monolithic");
     23    xml.singleton_begin("nb_port_read      "); xml.attribut("value",toString(_nb_port_read      )); xml.singleton_end();
     24    xml.singleton_begin("nb_port_write     "); xml.attribut("value",toString(_nb_port_write     )); xml.singleton_end();
     25    xml.singleton_begin("nb_port_read_write"); xml.attribut("value",toString(_nb_port_read_write)); xml.singleton_end();
     26    xml.singleton_begin("nb_word           "); xml.attribut("value",toString(_nb_word           )); xml.singleton_end();
     27    xml.singleton_begin("size_word         "); xml.attribut("value",toString(_size_word         )); xml.singleton_end();
     28    xml.balise_close();
     29
     30    return xml.get_body(depth);
    3231  };
    3332
    34   ostream& operator<< (ostream& output_stream ,
    35                        morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters & x)
     33  std::ostream& operator<< (std::ostream& output_stream ,
     34                            morpheo::behavioural::generic::registerfile::registerfile_monolithic::Parameters & x)
    3635  {
    3736    output_stream << x.print(0);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic.cpp

    r71 r75  
    1919   sc_module_name name,
    2020#else
    21    string name        ,
     21   std::string name        ,
    2222#endif
    2323#ifdef STATISTICS
     
    3737
    3838#ifdef STATISTICS
    39     statistics_declaration(param_statistics);
     39    if (_usage & USE_STATISTICS)
     40      statistics_declaration(param_statistics);
    4041#endif
    4142
     
    102103#ifdef STATISTICS
    103104    if (_usage & USE_STATISTICS)
    104       {
    105         delete _stat;
    106       }
     105      delete _stat;
    107106#endif
    108107
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_allocation.cpp

    r62 r75  
    124124    for (uint32_t i=0; i<_param->_nb_word; i++)
    125125      {
    126         string rename = "reg_DATA["  + toString(i) + "]";
     126        std::string rename = "reg_DATA["  + toString(i) + "]";
    127127        reg_DATA [i]  = new SC_REGISTER (Tdata_t) (rename.c_str());
    128128      }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_end_cycle.cpp

    r71 r75  
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    12/*
    23 * $Id$
     
    3738}; // end namespace behavioural         
    3839}; // end namespace morpheo             
     40#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_statistics_declaration.cpp

    r71 r75  
    1717  void RegisterFile_Monolithic::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
    1818  {
    19     _stat = new Stat (static_cast<string>(_name),
     19    _stat = new Stat (static_cast<std::string>(_name),
    2020                      "RegisterFile_Monolithic",
    2121                      param_statistics);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_transition.cpp

    r71 r75  
    7979#endif   
    8080
     81#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    8182    end_cycle();
    82 
     83#endif
    8384    log_printf(FUNC,RegisterFile,"transition","End");
    8485  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_vhdl_body.cpp

    r62 r75  
    3838    for (uint32_t i = 0; i < _param->_nb_port_read; i++)
    3939      {
    40         string str_address;
     40        std::string str_address;
    4141        if (_param->_have_port_address)
    4242          str_address = "conv_integer(in_READ_"+toString(i)+"_ADDRESS)";
     
    4848    for (uint32_t i = 0; i < _param->_nb_port_read_write; i++)
    4949      {
    50         string str_address;
     50        std::string str_address;
    5151        if (_param->_have_port_address)
    5252          str_address = "conv_integer(in_READ_WRITE_"+toString(i)+"_ADDRESS)";
     
    6868    for (uint32_t i = 0; i < _param->_nb_port_write; i++)
    6969      {
    70         string str_address;
     70        std::string str_address;
    7171        if (_param->_have_port_address)
    7272          str_address = "conv_integer(in_WRITE_"+toString(i)+"_ADDRESS)";
     
    8080    for (uint32_t i = 0; i < _param->_nb_port_read_write; i++)
    8181      {
    82         string str_address;
     82        std::string str_address;
    8383        if (_param->_have_port_address)
    8484          str_address = "conv_integer(in_READ_WRITE_"+toString(i)+"_ADDRESS)";
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/Parameters.h

    r62 r75  
    1010
    1111#include "Common/include/Debug.h"
    12 #include "Common/include/FromString.h"
    1312#include "Behavioural/include/Parameters.h"
    1413#include "Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/Types.h"
     
    1615
    1716namespace morpheo {
    18   typedef enum {PARTIAL_CROSSBAR,
    19                 FULL_CROSSBAR   } Tcrossbar_t;
    20 
    21   template<> inline Tcrossbar_t fromString<Tcrossbar_t> (const std::string& x)
    22   {
    23     if ( (x.compare("0")                == 0) or
    24          (x.compare("PARTIAL_CROSSBAR") == 0))
    25       return PARTIAL_CROSSBAR;
    26     if ( (x.compare("1")                == 0) or
    27          (x.compare("FULL_CROSSBAR"   ) == 0))
    28       return FULL_CROSSBAR;
    29 
    30     throw (ErrorMorpheo ("<fromString> : Unknow string : \""+x+"\""));
    31   };
    32  
    33   template<> inline std::string toString<Tcrossbar_t>   (const Tcrossbar_t& x)
    34   {
    35     ostringstream out;
    36 
    37     if (x == PARTIAL_CROSSBAR)
    38       out << "PARTIAL_CROSSBAR";
    39     if (x == FULL_CROSSBAR)
    40       out << "FULL_CROSSBAR";
    41 
    42     return out.str();
    43   };
    44 
    4517namespace behavioural {
    4618namespace generic {
     
    9264  public : ~Parameters () ;
    9365
    94   public : string msg_error (void);
    95 
    96   public :        string   print      (uint32_t depth);
    97   public : friend ostream& operator<< (ostream& output_stream,
    98                                        morpheo::behavioural::generic::registerfile::registerfile_multi_banked::Parameters & x);
     66  public :        std::string   msg_error  (void);
     67  public :        std::string   print      (uint32_t depth);
     68  public : friend std::ostream& operator<< (std::ostream& output_stream,
     69                                            morpheo::behavioural::generic::registerfile::registerfile_multi_banked::Parameters & x);
    9970  };
    10071
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/RegisterFile_Multi_Banked.h

    r57 r75  
    2020#include "Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/Types.h"
    2121#ifdef STATISTICS
    22 #include "Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/Statistics.h"
     22#include "Behavioural/include/Stat.h"
    2323#endif
    2424#include "Behavioural/include/Component.h"
     
    2626#include "Behavioural/include/Vhdl.h"
    2727#endif
    28 
    29 using namespace std;
    3028
    3129namespace morpheo {
     
    4341    // -----[ fields ]----------------------------------------------------
    4442    // Parameters
    45   protected : const string       _name;
     43  protected : const std::string       _name;
    4644
    4745  protected : const Parameters * _param;
    48 //#ifdef STATISTICS
    49 //  protected : const morpheo::behavioural::Parameters_Statistics _param_statistics;
    50 //#endif
    5146
    5247#ifdef STATISTICS
    53   private   : Statistics                     * _stat;
     48  public    : Stat                           * _stat;
    5449#endif
    5550
     
    10095                                              sc_module_name                                name,
    10196#else                                         
    102                                               string                                        name,
     97                                              std::string                                   name,
    10398#endif                                         
    10499#ifdef STATISTICS
     
    113108  private : void     deallocation              (void);
    114109                                               
    115 //#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    116 
    117110  private : Taddress_t address_bank                 (Taddress_t address);
    118111  private : Taddress_t address_num_reg              (Taddress_t address);
     
    129122  public  : void     partial_crossbar_genMealy_read (void);
    130123  public  : void     partial_crossbar_genMealy_write(void);
    131 
    132 //#endif
    133124#endif                                         
    134 #ifdef STATISTICS
    135   public  : string   statistics                (uint32_t depth);
    136 #endif
    137125                                               
    138126#if VHDL                                       
     
    142130#endif                                         
    143131                                               
    144 #ifdef VHDL_TESTBENCH                         
    145   private : void     vhdl_testbench_transition (void);
     132#ifdef STATISTICS
     133  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
     134#endif
     135#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     136  private : void     end_cycle                 (void);
    146137#endif
    147138  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/Types.h

    r57 r75  
    1010
    1111#include "Behavioural/include/Types.h"
    12 
     12#include "Common/include/ToString.h"
     13#include "Common/include/FromString.h"
    1314namespace morpheo                    {
    1415namespace behavioural {
     
    2324}; // end namespace registerfile
    2425}; // end namespace generic
     26}; // end namespace behavioural
    2527
    26 }; // end namespace behavioural
     28  typedef enum {PARTIAL_CROSSBAR,
     29                FULL_CROSSBAR   } Tcrossbar_t;
     30
     31  template<> inline Tcrossbar_t fromString<Tcrossbar_t> (const std::string& x)
     32  {
     33    if ( (x.compare("0")                == 0) or
     34         (x.compare("PARTIAL_CROSSBAR") == 0))
     35      return PARTIAL_CROSSBAR;
     36    if ( (x.compare("1")                == 0) or
     37         (x.compare("FULL_CROSSBAR"   ) == 0))
     38      return FULL_CROSSBAR;
     39
     40    throw (ErrorMorpheo ("<fromString> : Unknow string : \""+x+"\""));
     41  };
     42 
     43  template<> inline std::string toString<Tcrossbar_t>   (const Tcrossbar_t& x)
     44  {
     45    std::ostringstream out;
     46
     47    if (x == PARTIAL_CROSSBAR)
     48      out << "PARTIAL_CROSSBAR";
     49    if (x == FULL_CROSSBAR)
     50      out << "FULL_CROSSBAR";
     51
     52    return out.str();
     53  };
     54
     55
    2756}; // end namespace morpheo             
    2857
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/Parameters_msg_error.cpp

    r53 r75  
    77
    88#include "Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/Parameters.h"
    9 #include "Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/Types.h"
    10 #include <sstream>
    11 using namespace std;
    129
    1310namespace morpheo                    {
     
    1815
    1916
    20   string Parameters::msg_error(void)
     17  std::string Parameters::msg_error(void)
    2118  {
    2219    log_printf(FUNC,RegisterFile_Multi_Banked,"msg_error","Begin");
    2320
    24     string msg = "";
     21    std::string msg = "";
    2522
    2623    if (_nb_port_read < _nb_port_read_by_bank)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/Parameters_print.cpp

    r53 r75  
    88#include "Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    1716
    1817
    19   string Parameters::print (uint32_t depth)
     18  std::string Parameters::print (uint32_t depth)
    2019  {
    2120    log_printf(FUNC,RegisterFile_Multi_Banked,"print","Begin");
     
    4645  };
    4746
    48   ostream& operator<< (ostream& output_stream ,
    49                        morpheo::behavioural::generic::registerfile::registerfile_multi_banked::Parameters & x)
     47  std::ostream& operator<< (std::ostream& output_stream ,
     48                            morpheo::behavioural::generic::registerfile::registerfile_multi_banked::Parameters & x)
    5049  {
    5150    output_stream << x.print(0);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/RegisterFile_Multi_Banked.cpp

    r67 r75  
    2626                              _name              (name)
    2727                              ,_param            (param)
    28 // #ifdef STATISTICS
    29 //                            ,_param_statistics (param_statistics)
    30 // #endif
    3128  {
    3229    log_printf(FUNC,RegisterFile_Multi_Banked,"RegisterFile_Multi_Banked","Begin");
     
    4239
    4340    // Allocation of statistics
    44     _stat = new Statistics (static_cast<string>(_name),
    45                             param_statistics          ,
    46                             param);
     41    statistics_declaration(param_statistics);
    4742#endif
    4843
     
    142137#ifdef STATISTICS
    143138    log_printf(INFO,RegisterFile_Multi_Banked,"~RegisterFile_Multi_Banked","Generate Statistics file");
    144 
    145     _stat->generate_file(statistics(0));
    146139   
    147140    delete _stat;
     
    160153}; // end namespace registerfile
    161154}; // end namespace generic
    162 
    163155}; // end namespace behavioural
    164156}; // end namespace morpheo             
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/RegisterFile_Multi_Banked_allocation.cpp

    r62 r75  
    1818  void RegisterFile_Multi_Banked::allocation (void)
    1919  {
    20     string rename;
    21 
    2220    log_printf(FUNC,RegisterFile_Multi_Banked,"allocation","Begin");
    2321
     
    2725                                              ,"RegisterFile_Multi_Banked"
    2826#ifdef POSITION
    29                                               ,Register
     27                                              ,REGISTER
    3028#endif
    3129                                              );
     
    106104        for (uint32_t j=0; j<_param->_nb_word; j++)
    107105          {
    108             string rename = "reg_DATA_"  + toString(i) + "_"  + toString(j);
     106            std::string rename = "reg_DATA_"  + toString(i) + "_"  + toString(j);
    109107            reg_DATA [i][j]  = new SC_REGISTER (Tdata_t) (rename.c_str());
    110108          }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/RegisterFile_Multi_Banked_end_cycle.cpp

    r59 r75  
    1 #ifdef VHDL_TESTBENCH
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     2
    23/*
    34 * $Id$
     
    1516namespace registerfile_multi_banked {
    1617
     18#undef  FUNCTION
     19#define FUNCTION "RegisterFile_Multi_Banked::end_cycle"
     20void RegisterFile_Multi_Banked::end_cycle ()
     21  {
     22    log_printf(FUNC,RegisterFile_Multi_Banked,FUNCTION,"Begin");
    1723
    18   void RegisterFile_Multi_Banked::vhdl_testbench_transition ()
    19   {
    20     log_printf(FUNC,RegisterFile_Multi_Banked,"vhdl_testbench_transition","Begin");
     24#ifdef STATISTICS
     25    _stat->end_cycle();
     26#endif   
    2127
     28#ifdef VHDL_TESTBENCH
    2229    // Evaluation before read the ouput signal
    23 //     sc_start(0);
     30//  sc_start(0);
     31    _interfaces->testbench();
     32#endif
    2433
    25     _interfaces->testbench();
    26 
    27     log_printf(FUNC,RegisterFile_Multi_Banked,"vhdl_testbench_transition","End");
     34    log_printf(FUNC,RegisterFile_Multi_Banked,FUNCTION,"End");
    2835  };
    2936
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/RegisterFile_Multi_Banked_statistics_declaration.cpp

    r59 r75  
    1515namespace registerfile_multi_banked {
    1616
     17#undef  FUNCTION
     18#define FUNCTION "RegisterFile_Multi_Banked::statistics_declaration"
     19  void RegisterFile_Multi_Banked::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
     20  {
     21    log_printf(FUNC,RegisterFile_Multi_Banked,FUNCTION,"Begin");
    1722
    18   string RegisterFile_Multi_Banked::statistics (uint32_t depth)
    19   {
    20     log_printf(FUNC,RegisterFile_Multi_Banked,"statistics","Begin");
    21 
    22     string txt = _stat->print(depth);
     23    _stat = new Stat (static_cast<std::string>(_name),
     24                      "RegisterFile_Multi_Banked",
     25                      param_statistics);
    2326   
    24     log_printf(FUNC,RegisterFile_Multi_Banked,"statistics","End");
    25 
    26     return txt;
     27    log_printf(FUNC,RegisterFile_Multi_Banked,FUNCTION,"End");
    2728  };
    2829
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/RegisterFile_Multi_Banked_transition.cpp

    r57 r75  
    3535      }
    3636
    37 #ifdef STATISTICS
    38     _stat->add();
    39 #endif   
    40 
    41 #ifdef VHDL_TESTBENCH
    42     vhdl_testbench_transition ();
     37#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     38    end_cycle();
    4339#endif
    4440
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/RegisterFile_Multi_Banked_vhdl.cpp

    r57 r75  
    3939       ,_param->_size_word);
    4040   
    41     string bank_name = _name + "_bank";
     41    std::string bank_name = _name + "_bank";
    4242    bank = new morpheo::behavioural::generic::registerfile::registerfile_monolithic::RegisterFile_Monolithic
    4343      (bank_name.c_str()
     
    106106                         (nb_select_port_4 != nb_select_port_1) );
    107107
    108     string select_name1;
    109     string select_name2;
    110     string select_name3;
    111     string select_name4;
     108    std::string select_name1;
     109    std::string select_name2;
     110    std::string select_name3;
     111    std::string select_name4;
    112112   
    113113    if (have_select1)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Multi_Banked/src/RegisterFile_Multi_Banked_vhdl_body.cpp

    r62 r75  
    8585              {
    8686                uint32_t num_port  = (_param->_crossbar == FULL_CROSSBAR)?k:(_param->_nb_port_read_by_bank*k+j);
    87                 string   separator = ((k==0)?" ":",");
    88                 string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
     87                std::string   separator = ((k==0)?" ":",");
     88                std::string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
    8989
    9090                vhdl->set_body("\t"+separator+" in_VAL_"+toString(k)+"     \t=>\tinternal_READ_"+toString(i)+"_"+toString(num_port)+index+"_VAL");
     
    104104              {
    105105                uint32_t num_port  = (_param->_crossbar == FULL_CROSSBAR)?k:(_param->_nb_port_write_by_bank*k+j);
    106                 string   separator = ((k==0)?" ":",");
    107                 string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
     106                std::string   separator = ((k==0)?" ":",");
     107                std::string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
    108108               
    109109                vhdl->set_body("\t"+separator+" in_VAL_"+toString(k)+"     \t=>\tinternal_WRITE_"+toString(i)+"_"+toString(num_port)+index+"_VAL");
     
    130130              {
    131131                uint32_t num_port  = (_param->_crossbar == FULL_CROSSBAR)?k:(_param->_nb_port_read_by_bank*k+j);
    132                 string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
     132                std::string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
    133133                vhdl->set_body("\tor internal_SELECT_READ_"+toString(i)+"_"+toString(num_port)+index+"_VAL");
    134134              }
     
    143143              {
    144144                uint32_t num_port  = (_param->_crossbar == FULL_CROSSBAR)?k:(_param->_nb_port_write_by_bank*k+j);
    145                 string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
     145                std::string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
    146146
    147147                vhdl->set_body("\tor internal_SELECT_WRITE_"+toString(i)+"_"+toString(num_port)+index+"_VAL");
     
    168168              {
    169169                uint32_t num_port  = (_param->_crossbar == FULL_CROSSBAR)?k:(_param->_nb_port_read_by_bank*k+j);
    170                 string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
     170                std::string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
    171171                               
    172172                vhdl->set_body("\tin_READ_"+toString(num_port)+"_ADDRESS"+std_logic_range(_param->_size_address_by_bank)+"  when internal_SELECT_READ_"+toString(i)+"_"+toString(num_port)+index+"_VAL ='1' else");
     
    183183              {
    184184                uint32_t num_port  = (_param->_crossbar == FULL_CROSSBAR)?k:(_param->_nb_port_write_by_bank*k+j);
    185                 string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
     185                std::string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
    186186
    187187                vhdl->set_body("\tin_WRITE_"+toString(num_port)+"_ADDRESS"+std_logic_range(_param->_size_address_by_bank)+" when internal_SELECT_WRITE_"+toString(i)+"_"+toString(num_port)+index+"_VAL='1' else");
     
    207207              {
    208208                uint32_t num_port  = (_param->_crossbar == FULL_CROSSBAR)?k:(_param->_nb_port_write_by_bank*k+j);
    209                 string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
     209                std::string   index     = (_param->_crossbar == FULL_CROSSBAR)?("_"+toString(j)):"";
    210210                vhdl->set_body("\tin_WRITE_"+toString(num_port)+"_DATA when internal_SELECT_WRITE_"+toString(i)+"_"+toString(num_port)+index+"_VAL='1' else");
    211211              }
     
    225225        for (uint32_t j=0; j<_param->_nb_port_read; j ++)
    226226          {
    227             string str_address;
     227            std::string str_address;
    228228
    229229            if (_param->_have_bank_port_address == true)
     
    236236        for (uint32_t j=0; j<_param->_nb_port_write; j ++)
    237237          {
    238             string str_address;
     238            std::string str_address;
    239239
    240240            if (_param->_have_port_address == true)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/include/Parameters.h

    r62 r75  
    4343  public : ~Parameters () ;
    4444
    45   public : string msg_error (void);
    46 
    47   public :        string   print      (uint32_t depth);
    48   public : friend ostream& operator<< (ostream& output_stream,
     45  public :        std::string   msg_error  (void);
     46  public :        std::string   print      (uint32_t depth);
     47  public : friend std::ostream& operator<< (std::ostream& output_stream,
    4948                                       morpheo::behavioural::generic::registerfile::Parameters & x);
    5049  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/include/RegisterFile.h

    r62 r75  
    2323#include "Behavioural/Generic/RegisterFile/include/Types.h"
    2424#ifdef STATISTICS
    25 #include "Behavioural/Generic/RegisterFile/include/Statistics.h"
     25#include "Behavioural/include/Stat.h"
    2626#endif
    2727#include "Behavioural/include/Component.h"
     
    2929#include "Behavioural/include/Vhdl.h"
    3030#endif
    31 
    32 using namespace std;
    3331
    3432namespace morpheo {
     
    4543    // -----[ fields ]----------------------------------------------------
    4644    // Parameters
    47   protected : const string       _name;
     45  protected : const std::string       _name;
    4846
    4947  protected : const Parameters * _param;
     48
    5049#ifdef STATISTICS
    51   protected : morpheo::behavioural::Parameters_Statistics * _param_statistics;
     50  public    : Stat                           * _stat;
    5251#endif
    5352
     
    8988  public  :          RegisterFile              (
    9089#ifdef SYSTEMC
    91                                               sc_module_name                              name,
     90                                                sc_module_name                              name,
    9291#else                                         
    93                                               string                                      name,
     92                                                std::string                                      name,
    9493#endif                                         
    9594#ifdef STATISTICS
    96                                               morpheo::behavioural::Parameters_Statistics * param_statistics,
     95                                                morpheo::behavioural::Parameters_Statistics * param_statistics,
    9796#endif
    98                                               Parameters                                  * param );
    99                                               
     97                                                Parameters                                  * param );
     98   
    10099  public  :          ~RegisterFile             (void);
    101100                                               
    102101#ifdef SYSTEMC                                 
    103   private : void     allocation                (void);
     102  private : void     allocation                (
     103#ifdef STATISTICS
     104                                                morpheo::behavioural::Parameters_Statistics * param_statistics
     105#else
     106                                                void
     107#endif
     108                                                );
    104109  private : void     deallocation              (void);
    105110#endif                                         
    106 
    107 #ifdef STATISTICS
    108   public  : string   statistics                (uint32_t depth);
    109 #endif
    110111  };
    111112
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/src/Parameters_msg_error.cpp

    r53 r75  
    99#include "Behavioural/Generic/RegisterFile/include/Types.h"
    1010#include <sstream>
    11 using namespace std;
    1211
    1312namespace morpheo                    {
     
    1716
    1817
    19   string Parameters::msg_error(void)
     18  std::string Parameters::msg_error(void)
    2019  {
    2120    log_printf(FUNC,RegisterFile,"msg_error","Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/src/Parameters_print.cpp

    r53 r75  
    88#include "Behavioural/Generic/RegisterFile/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    1615
    1716
    18   string Parameters::print (uint32_t depth)
     17  std::string Parameters::print (uint32_t depth)
    1918  {
    2019    log_printf(FUNC,RegisterFile,"print","Begin");
    2120
    22     string _return;
     21    std::string _return;
    2322
    2423    if (_instance == instance_RegisterFile_Monolithic)
     
    3231  };
    3332
    34   ostream& operator<< (ostream& output_stream ,
    35                        morpheo::behavioural::generic::registerfile::Parameters & x)
     33  std::ostream& operator<< (std::ostream& output_stream ,
     34                            morpheo::behavioural::generic::registerfile::Parameters & x)
    3635  {
    37     if (x._instance == instance_RegisterFile_Monolithic)
    38       output_stream << x._param_registerfile_monolithic  ->print(0);
    39     else
    40       output_stream << x._param_registerfile_multi_banked->print(0);
     36    output_stream << x.print(0);
    4137   
    4238    return output_stream;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/src/RegisterFile.cpp

    r62 r75  
    2525                              _name              (name)
    2626                              ,_param            (param)
    27 #ifdef STATISTICS
    28                               ,_param_statistics (param_statistics)
    29 #endif
    3027  {
    3128    log_printf(FUNC,RegisterFile,"RegisterFile","Begin");
     
    3431    log_printf(INFO,RegisterFile,"RegisterFile","Allocation");
    3532
    36     allocation ();
     33    allocation (
     34# ifdef STATISTICS
     35                param_statistics
     36# endif
     37                );
     38#endif
     39
     40#ifdef STATISTICS
     41    if (_param->_instance == instance_RegisterFile_Monolithic)
     42      _stat = component_RegisterFile_Monolithic  ->_stat;
     43    else
     44      _stat = component_RegisterFile_Multi_Banked->_stat;
    3745#endif
    3846
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/src/RegisterFile_allocation.cpp

    r62 r75  
    1515
    1616
    17   void RegisterFile::allocation (void)
     17  void RegisterFile::allocation (
     18#ifdef STATISTICS
     19                                 morpheo::behavioural::Parameters_Statistics * param_statistics
     20#else
     21                                 void
     22#endif
     23                                 )
    1824  {
    19     string rename;
     25    std::string rename;
    2026
    2127    log_printf(FUNC,RegisterFile,"allocation","Begin");
     
    7884        component_RegisterFile_Monolithic  = new morpheo::behavioural::generic::registerfile::registerfile_monolithic  ::RegisterFile_Monolithic  ::RegisterFile_Monolithic   (_name.c_str()
    7985#ifdef STATISTICS
    80                                                                                                                                                                                ,_param_statistics
     86                                                                                                                                                                               ,param_statistics
    8187#endif
    8288                                                                                                                                                                               ,_param->_param_registerfile_monolithic
     
    8995        component_RegisterFile_Multi_Banked = new morpheo::behavioural::generic::registerfile::registerfile_multi_banked::RegisterFile_Multi_Banked::RegisterFile_Multi_Banked (_name.c_str()
    9096#ifdef STATISTICS
    91                                                                                                                                                                                 ,_param_statistics
     97                                                                                                                                                                                ,param_statistics
    9298#endif
    9399                                                                                                                                                                                ,_param->_param_registerfile_multi_banked
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/include/Parameters.h

    r44 r75  
    3434  public : ~Parameters () ;
    3535
    36   public : string msg_error (void);
    37 
    38   public :        string   print      (uint32_t depth);
    39   public : friend ostream& operator<< (ostream& output_stream,
    40                                        morpheo::behavioural::generic::select::select_priority_fixed::Parameters & x);
     36  public :        std::string   msg_error  (void);
     37  public :        std::string   print      (uint32_t depth);
     38  public : friend std::ostream& operator<< (std::ostream& output_stream,
     39                                            morpheo::behavioural::generic::select::select_priority_fixed::Parameters & x);
    4140  };
    4241
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/include/Select_Priority_Fixed.h

    r57 r75  
    2020#include "Behavioural/Generic/Select/Select_Priority_Fixed/include/Types.h"
    2121#ifdef STATISTICS
    22 #include "Behavioural/Generic/Select/Select_Priority_Fixed/include/Statistics.h"
     22#include "Behavioural/include/Stat.h"
    2323#endif
    2424#include "Behavioural/include/Component.h"
     
    2727#endif
    2828#include "Behavioural/include/Usage.h"
    29 
    30 using namespace std;
    3129
    3230namespace morpheo {
     
    4442    // -----[ fields ]----------------------------------------------------
    4543    // Parameters
    46   protected : const string     _name;
     44  protected : const std::string     _name;
    4745  protected : const Parameters * _param;
    4846  private   : const Tusage_t     _usage;
     
    5250
    5351#ifdef STATISTICS
    54   private   : Statistics                     * _stat;
     52  public    : Stat                           * _stat;
    5553#endif
    5654
     
    8785   sc_module_name                              name,
    8886#else                                         
    89    string                                      name,
     87   std::string                                 name,
    9088#endif                                         
    9189#ifdef STATISTICS
     
    10199  private : void     deallocation              (void);
    102100                                               
    103 #if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     101# if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    104102  public  : void     transition                (void);
    105 #endif
     103# endif
    106104  public  : void     genMealy_entity           (void);
    107105#endif                                         
    108 #ifdef STATISTICS
    109   public  : string   statistics                (uint32_t depth);
    110 #endif
    111106                                               
    112107#if VHDL                                       
     
    116111#endif                                         
    117112                                               
    118 #ifdef VHDL_TESTBENCH                         
    119   private : void     vhdl_testbench_transition (void);
     113#ifdef STATISTICS
     114  public  : void        statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
     115#endif
     116#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     117  private : void        end_cycle                 (void);
    120118#endif
    121119  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/src/Parameters_msg_error.cpp

    r40 r75  
    99#include "Behavioural/Generic/Select/Select_Priority_Fixed/include/Types.h"
    1010#include <sstream>
    11 using namespace std;
    1211
    1312namespace morpheo                    {
     
    1817
    1918
    20   string Parameters::msg_error(void)
     19  std::string Parameters::msg_error(void)
    2120  {
    2221    log_printf(FUNC,Select_Priority_Fixed,"msg_error","Begin");
    2322
    24     string msg = "";
     23    std::string msg = "";
    2524
    2625    if ((_encoding_one_hot or _encoding_compact) == false)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/src/Parameters_print.cpp

    r15 r75  
    88#include "Behavioural/Generic/Select/Select_Priority_Fixed/include/Parameters.h"
    99#include "Behavioural/include/XML.h"
    10 using namespace std;
    1110
    1211namespace morpheo                    {
     
    1615namespace select_priority_fixed {
    1716
    18   string Parameters::print (uint32_t depth)
     17  std::string Parameters::print (uint32_t depth)
    1918  {
    2019    log_printf(FUNC,Select_Priority_Fixed,"print","Begin");
     
    3332  };
    3433
    35   ostream& operator<< (ostream& output_stream ,
    36                        morpheo::behavioural::generic::select::select_priority_fixed::Parameters & x)
     34  std::ostream& operator<< (std::ostream& output_stream ,
     35                            morpheo::behavioural::generic::select::select_priority_fixed::Parameters & x)
    3736  {
    3837    output_stream << x.print(0);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/src/Select_Priority_Fixed.cpp

    r68 r75  
    3030    _param            (param),
    3131    _usage            (usage)
    32 // #ifdef STATISTICS
    33 //                            ,_param_statistics (param_statistics)
    34 // #endif
    3532  {
    3633    log_printf(FUNC,Select_Priority_Fixed,"Select_Priority_Fixed","Begin");
     
    4441        log_printf(INFO,Select_Priority_Fixed,"Select_Priority_Fixed","Allocation of statistics");
    4542       
    46         // Allocation of statistics
    47         _stat = new Statistics (static_cast<string>(_name),
    48                                 param_statistics          ,
    49                                 param);
     43        statistics_declaration(param_statistics);
    5044      }
    5145#endif
     
    106100        log_printf(INFO,Select_Priority_Fixed,"~Select_Priority_Fixed","Generate Statistics file");
    107101       
    108         _stat->generate_file(statistics(0));
    109102        delete _stat;
    110103      }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/src/Select_Priority_Fixed_end_cycle.cpp

    r59 r75  
    1 #ifdef VHDL_TESTBENCH
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    22/*
    33 * $Id$
     
    1515namespace select_priority_fixed {
    1616
     17#undef  FUNCTION
     18#define FUNCTION "Select_Priority_Fixed::end_cycle"
     19void Select_Priority_Fixed::end_cycle ()
     20  {
     21    log_printf(FUNC,Select_Priority_Fixed,FUNCTION,"Begin");
    1722
    18   void Select_Priority_Fixed::vhdl_testbench_transition ()
    19   {
    20     log_printf(FUNC,Select_Priority_Fixed,"vhdl_testbench_transition","Begin");
     23#ifdef STATISTICS
     24    _stat->end_cycle();
     25#endif   
    2126
     27#ifdef VHDL_TESTBENCH
    2228    // Evaluation before read the ouput signal
    23 //     sc_start(0);
     29//  sc_start(0);
     30    _interfaces->testbench();
     31#endif
    2432
    25     _interfaces->testbench();
    26 
    27     log_printf(FUNC,Select_Priority_Fixed,"vhdl_testbench_transition","End");
     33    log_printf(FUNC,Select_Priority_Fixed,FUNCTION,"End");
    2834  };
    2935
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/src/Select_Priority_Fixed_statistics_declaration.cpp

    r59 r75  
    1515namespace select_priority_fixed {
    1616
     17#undef  FUNCTION
     18#define FUNCTION "Select_Priority_Fixed::statistics_declaration"
     19  void Select_Priority_Fixed::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
     20  {
     21    log_printf(FUNC,Select_Priority_Fixed,FUNCTION,"Begin");
    1722
    18   string Select_Priority_Fixed::statistics (uint32_t depth)
    19   {
    20     log_printf(FUNC,Select_Priority_Fixed,"statistics","Begin");
    21 
    22     string txt = _stat->print(depth);
     23    _stat = new Stat (static_cast<std::string>(_name),
     24                      "Select_Priority_Fixed",
     25                      param_statistics);
    2326   
    24     log_printf(FUNC,Select_Priority_Fixed,"statistics","End");
    25 
    26     return txt;
     27    log_printf(FUNC,Select_Priority_Fixed,FUNCTION,"End");
    2728  };
    2829
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/src/Select_Priority_Fixed_transition.cpp

    r15 r75  
    2121    log_printf(FUNC,Select_Priority_Fixed,"transition","Begin");
    2222
    23 #ifdef STATISTICS
    24     _stat->add();
    25 #endif   
    26 
    27 #ifdef VHDL_TESTBENCH
    28     vhdl_testbench_transition ();
     23#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     24    end_cycle();
    2925#endif
    3026
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/src/Select_Priority_Fixed_vhdl_body.cpp

    r59 r75  
    3838    if (_param->_encoding_compact)
    3939      {
    40         string range = ((_param->_size_entity-1)==0)?"(0)":std_logic_range(_param->_size_entity-1,0);
     40        std::string range = ((_param->_size_entity-1)==0)?"(0)":std_logic_range(_param->_size_entity-1,0);
    4141
    4242        vhdl->set_body ("out_ENTITY     <=     internal_entity"+range+";");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/SelfTest/include/test.h

    r2 r75  
    2020using namespace morpheo::behavioural;
    2121using namespace morpheo::behavioural::generic;
    22 
    2322using namespace morpheo::behavioural::generic::shifter;
    2423
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/SelfTest/src/test.cpp

    r44 r75  
    3333      exit (EXIT_FAILURE);
    3434    }
     35
     36#ifdef STATISTICS
     37  morpheo::behavioural::Parameters_Statistics * param_stat = new morpheo::behavioural::Parameters_Statistics(5,50);
     38#endif
    3539  Shifter * _Shifter = new Shifter (name.c_str(),
    3640#ifdef STATISTICS
    37                                              morpheo::behavioural::Parameters_Statistics(5,50),
    38 #endif
    39                                              param);
     41                                    param_stat,
     42#endif
     43                                    param);
    4044 
    4145#ifdef SYSTEMC
     
    4852  sc_signal <Tshift_t    >                 SHIFTER_SHIFT     [param._nb_port];
    4953  sc_signal <Tdirection_t>                 SHIFTER_DIRECTION [param._nb_port];
    50   sc_signal <Ttype_t     >                 SHIFTER_TYPE      [param._nb_port];
     54  sc_signal <morpheo::behavioural::generic::shifter::Ttype_t     >                 SHIFTER_TYPE      [param._nb_port];
    5155  sc_signal <Tcarry_t    >                 SHIFTER_CARRY     [param._nb_port];
    5256  sc_signal <Tcontrol_t  >                 SHIFTER_CARRY_IN  [param._nb_port];
     
    96100
    97101  Tdirection_t   direction       ;
    98   Ttype_t        type            ;
     102  morpheo::behavioural::generic::shifter::Ttype_t        type            ;
    99103  Tcarry_t       carry           ;
    100104  const Tdata_t  data_max        = (1<<param._size_data);
     
    271275                       << hex
    272276                       << data_in[i] << op
    273                        << dec
     277                       << std::dec
    274278                       << shift      << " = "
    275                        << hex
     279                       << std::hex
    276280                       << SHIFTER_DATA_OUT [i].read()
    277281                       << " - completion : "
    278282                       << data_completion [i]
    279                        << dec ;
     283                       << std::dec ;
    280284                 
    281285                  TEST(Tdata_t,SHIFTER_DATA_OUT [i].read(),data_out[i]);
     
    298302
    299303  delete _Shifter;
     304
     305#ifdef STATISTICS
     306  delete param_stat;
     307#endif
    300308}
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/include/Parameters.h

    r2 r75  
    4848  public : const bool        _have_direction_right         ;
    4949
    50     string toString_rotate    (rotate_t x)
    51     {
    52       switch (x)
    53         {
    54         case without_rotate            : return "without_rotate" ;
    55         case internal_rotate           : return "internal_rotate";
    56         case external_rotate           : return "external_rotate";     
    57         default                        : return "";
    58         }
    59     };
    60    
    61     string toString_direction (direction_t x)
    62     {
    63       switch (x)
    64         {
    65         case internal_right_shift      : return "internal_right_shift";
    66         case internal_left_shift       : return "internal_left_shift" ;
    67         case external_direction        : return "external_direction"  ;
    68         default                        : return "";
    69         }
    70     };
    71    
    72     string toString_carry (carry_t x)
    73     {
    74       switch (x)
    75         {
    76         case internal_logic            : return "internal_logic"       ;
    77         case internal_arithmetic       : return "internal_arithmetic"  ;
    78         case external_carry            : return "external_carry"       ;
    79         case external_completion       : return "external_completion"  ;
    80         default                        : return "";
    81         }
    82     };
    83    
    8450    //-----[ methods ]-----------------------------------------------------------
    8551  public : Parameters  (uint32_t    size_data           ,
     
    9359  public : ~Parameters () ;
    9460
    95   public : string msg_error (void);
    96 
    97   public :        string   print      (uint32_t depth);
    98   public : friend ostream& operator<< (ostream& output_stream,
    99                                        morpheo::behavioural::generic::shifter::Parameters & x);
     61  public :        std::string   msg_error  (void);
     62  public :        std::string   print      (uint32_t depth);
     63  public : friend std::ostream& operator<< (std::ostream& output_stream,
     64                                            morpheo::behavioural::generic::shifter::Parameters & x);
    10065  };
    10166
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/include/Shifter.h

    r44 r75  
    1919#include "Behavioural/Generic/Shifter/include/Types.h"
    2020#ifdef STATISTICS
    21 #include "Behavioural/Generic/Shifter/include/Statistics.h"
     21#include "Behavioural/include/Stat.h"
    2222#endif
    2323#ifdef VHDL
     
    2525#endif
    2626#include "Behavioural/include/Component.h"
    27 
    28 using namespace std;
    2927
    3028namespace morpheo                    {
     
    4139    // -----[ fields ]----------------------------------------------------
    4240    // Parameters
    43   protected : const string     _name;
     41  protected : const std::string     _name;
    4442
    4543  protected : const Parameters _param;
    4644#ifdef STATISTICS
    47   private   : Statistics                     * _stat;
     45  public    : Stat                           * _stat;
    4846#endif
    4947
     
    7977  public  :          Shifter              (
    8078#ifdef SYSTEMC
    81                                            sc_module_name                              name,
     79                                           sc_module_name                                name,
    8280#else                                         
    83                                            string                                      name,
     81                                           std::string                                   name,
    8482#endif                                         
    8583#ifdef STATISTICS
    86                                            morpheo::behavioural::Parameters_Statistics param_statistics,
     84                                           morpheo::behavioural::Parameters_Statistics * param_statistics,
    8785#endif
    88                                            Parameters                                  param );
     86                                           Parameters                                    param );
    8987   
    9088  public  :          Shifter              (Parameters param );
     
    106104  private : void     vhdl_body                 (Vhdl * & vhdl);
    107105#endif                                         
     106
    108107#ifdef STATISTICS
    109   public  : string   statistics                (uint32_t depth);
     108  public  : void        statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    110109#endif
    111                                                
    112 #ifdef VHDL_TESTBENCH                         
    113   private : void     vhdl_testbench_transition (void);
     110#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     111  private : void        end_cycle                 (void);
    114112#endif
    115 
    116113  };
    117114
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/include/Types.h

    r44 r75  
    1 #ifdef SYSTEMC
    21#ifndef morpheo_behavioural_generic_shifter_Type_h
    32#define morpheo_behavioural_generic_shifter_Type_h
     
    109 */
    1110
    12 #include "Common/include/Types.h"
     11#include "Behavioural/include/Types.h"
     12#include "Common/include/ToString.h"
    1313
    1414namespace morpheo {
     
    4949}; // end namespace generic
    5050}; // end namespace behavioural
     51
     52  template<> inline std::string toString<morpheo::behavioural::generic::shifter::rotate_t>(const morpheo::behavioural::generic::shifter::rotate_t& x)
     53    {
     54      switch (x)
     55        {
     56        case morpheo::behavioural::generic::shifter::without_rotate            : return "without_rotate" ;
     57        case morpheo::behavioural::generic::shifter::internal_rotate           : return "internal_rotate";
     58        case morpheo::behavioural::generic::shifter::external_rotate           : return "external_rotate";     
     59        default                        : return "";
     60        }
     61    };
     62 
     63  template<> inline std::string toString<morpheo::behavioural::generic::shifter::direction_t>(const morpheo::behavioural::generic::shifter::direction_t& x)
     64  {
     65    switch (x)
     66      {
     67      case morpheo::behavioural::generic::shifter::internal_right_shift      : return "internal_right_shift";
     68      case morpheo::behavioural::generic::shifter::internal_left_shift       : return "internal_left_shift" ;
     69      case morpheo::behavioural::generic::shifter::external_direction        : return "external_direction"  ;
     70      default                        : return "";
     71      }
     72  };
     73 
     74  template<> inline std::string toString<morpheo::behavioural::generic::shifter::carry_t>(const morpheo::behavioural::generic::shifter::carry_t& x)
     75  {
     76    switch (x)
     77      {
     78      case morpheo::behavioural::generic::shifter::internal_logic            : return "internal_logic"       ;
     79      case morpheo::behavioural::generic::shifter::internal_arithmetic       : return "internal_arithmetic"  ;
     80      case morpheo::behavioural::generic::shifter::external_carry            : return "external_carry"       ;
     81      case morpheo::behavioural::generic::shifter::external_completion       : return "external_completion"  ;
     82      default                        : return "";
     83      }
     84  };
     85   
     86
    5187}; // end namespace morpheo             
    5288
    5389#endif
    54 #endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Parameters_msg_error.cpp

    r2 r75  
    99#include "Behavioural/Generic/Shifter/include/Types.h"
    1010#include <sstream>
    11 using namespace std;
    1211
    1312namespace morpheo {
     
    1615namespace shifter {
    1716
    18   string Parameters::msg_error(void)
     17  std::string Parameters::msg_error(void)
    1918  {
    20     string msg = "";
     19    std::string msg = "";
    2120
    2221    if (_size_data < 2)
     
    4241      {
    4342        msg += "  - Incompatible parameters : internal_rotate have never carry (must be set at \"internal_logic\"\n";
    44         msg += "    * rotate                          : " + toString_rotate(_rotate) + "\n";
    45         msg += "    * carry                           : " + toString_carry (_carry ) + "\n";
     43        msg += "    * rotate                          : " + toString(_rotate) + "\n";
     44        msg += "    * carry                           : " + toString(_carry ) + "\n";
    4645      }   
    4746
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Parameters_print.cpp

    r2 r75  
    77
    88#include "Behavioural/Generic/Shifter/include/Parameters.h"
    9 #include <sstream>
    10 using namespace std;
     9#include "Behavioural/include/XML.h"
    1110
    1211namespace morpheo                    {
     
    1615
    1716
    18   string Parameters::print (uint32_t depth)
     17  std::string Parameters::print (uint32_t depth)
    1918  {
    20     string tab = string(depth,'\t');
    21     ostringstream msg;
    22     msg << tab << "<shifter>" << endl
    23         << tab << "\t<size_data   value=\"" << _size_data   << "\" />" << endl
    24         << tab << "\t<nb_port     value=\"" << _nb_port     << "\" />" << endl
    25         << tab << "\t<shift_value value=\"" << _shift_value << "\" />" << endl
    26         << tab << "\t<rotate      value=\"" << toString_rotate    (_rotate   ) << "\" />" << endl
    27         << tab << "\t<direction   value=\"" << toString_direction (_direction) << "\" />" << endl
    28         << tab << "\t<carry       value=\"" << toString_carry     (_carry    ) << "\" />" << endl
    29         << tab << "\t<!-- port_completion is type bool : " << toString(_type_completion_bool) << " -->" << endl
    30         << tab << "</shifter>" << endl;
     19    XML xml ("shifter");
     20
     21    xml.balise_open("shifter");
     22    xml.singleton_begin("size_data  "); xml.attribut("value",toString(_size_data  )); xml.singleton_end();
     23    xml.singleton_begin("nb_port    "); xml.attribut("value",toString(_nb_port    )); xml.singleton_end();
     24    xml.singleton_begin("shift_value"); xml.attribut("value",toString(_shift_value)); xml.singleton_end();
     25    xml.singleton_begin("rotate     "); xml.attribut("value",toString(_rotate     )); xml.singleton_end();
     26    xml.singleton_begin("direction  "); xml.attribut("value",toString(_direction  )); xml.singleton_end();
     27    xml.singleton_begin("carry      "); xml.attribut("value",toString(_carry      )); xml.singleton_end();
    3128   
    32     return msg.str();
     29    return xml.get_body(depth);
    3330  };
    3431
    35   ostream& operator<< (ostream& output_stream ,
    36                        morpheo::behavioural::generic::shifter::Parameters & x)
     32  std::ostream& operator<< (std::ostream& output_stream ,
     33                            morpheo::behavioural::generic::shifter::Parameters & x)
    3734  {
    3835    output_stream << x.print(0);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Shifter.cpp

    r66 r75  
    2020#endif
    2121#ifdef STATISTICS
    22                     ,morpheo::behavioural::Parameters_Statistics             param_statistics
     22                    ,morpheo::behavioural::Parameters_Statistics * param_statistics
    2323#endif
    2424                    ,morpheo::behavioural::generic::shifter::Parameters param ):
     
    3232#ifdef STATISTICS
    3333    // Allocation of statistics
    34     _stat = new Statistics (static_cast<string>(_name),
    35                             param_statistics          ,
    36                             param);
     34    statistics_declaration(param_statistics);
    3735#endif
    3836
     
    10199
    102100#ifdef STATISTICS
    103     _stat->generate_file(statistics(0));
    104    
    105101    delete _stat;
    106102#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Shifter_end_cycle.cpp

    r59 r75  
    1 #ifdef VHDL_TESTBENCH
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    22/*
    33 * $Id$
     
    1414namespace shifter {
    1515
     16#undef  FUNCTION
     17#define FUNCTION "Shifter::end_cycle"
     18void Shifter::end_cycle ()
     19  {
     20    log_printf(FUNC,Shifter,FUNCTION,"Begin");
    1621
    17   void Shifter::vhdl_testbench_transition (void)
    18   {
    19 //     sc_start(0);
     22#ifdef STATISTICS
     23    _stat->end_cycle();
     24#endif   
    2025
     26#ifdef VHDL_TESTBENCH
     27    // Evaluation before read the ouput signal
     28//  sc_start(0);
    2129    _interfaces->testbench();
     30#endif
     31
     32    log_printf(FUNC,Shifter,FUNCTION,"End");
    2233  };
     34
    2335
    2436}; // end namespace shifter
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Shifter_genMealy_shift.cpp

    r44 r75  
    1111#include <bitset>
    1212
    13 using namespace std;
    1413namespace morpheo {
    1514namespace behavioural {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Shifter_statistics_declaration.cpp

    r59 r75  
    1414namespace shifter {
    1515
     16#undef  FUNCTION
     17#define FUNCTION "Shifter::statistics_declaration"
     18  void Shifter::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
     19  {
     20    log_printf(FUNC,Shifter,FUNCTION,"Begin");
    1621
    17   string Shifter::statistics (uint32_t depth)
    18   {
    19     return _stat->print(depth);
     22    _stat = new Stat (static_cast<std::string>(_name),
     23                      "Shifter",
     24                      param_statistics);
     25   
     26    log_printf(FUNC,Shifter,FUNCTION,"End");
    2027  };
    2128
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Shifter_transition.cpp

    r42 r75  
    1818  void Shifter::transition (void)
    1919  {
    20 #ifdef STATISTICS
    21     _stat->add();
    22 #endif   
    23 
    24 #ifdef VHDL_TESTBENCH
    25     vhdl_testbench_transition ();
     20#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     21    end_cycle ();
    2622#endif
    2723  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Shifter_vhdl_body.cpp

    r42 r75  
    5050        for (uint32_t i=0; i<_param._nb_port; i++)
    5151          {
    52             string print_shifter_completion;
     52            std::string print_shifter_completion;
    5353           
    5454            if (_param._type_completion_bool == true)
     
    8383      {
    8484        vhdl->set_body ("out_SHIFTER_"+toString(i)+"_DATA       <=");
    85         string print_else = "    ";
     85        std::string print_else = "    ";
    8686
    8787        //-----[ Shift arithmetic Left ]---------------------------------------
     
    8989          {
    9090            bool   have_when  = false;
    91             string print_when = "";
    92             string print_and  = "";
     91            std::string print_when = "";
     92            std::string print_and  = "";
    9393           
    9494            if (_param._direction   == external_direction)
     
    120120          {
    121121            bool   have_when  = false;
    122             string print_when = "";
    123             string print_and  = "";
     122            std::string print_when = "";
     123            std::string print_and  = "";
    124124           
    125125            if (_param._direction   == external_direction)
     
    151151          {
    152152            bool   have_when  = false;
    153             string print_when = "";
    154             string print_and  = "";
     153            std::string print_when = "";
     154            std::string print_and  = "";
    155155           
    156156            if (_param._direction   == external_direction)
     
    175175              print_when = "when " + print_when;
    176176
    177             string print_expr_completion;
     177            std::string print_expr_completion;
    178178
    179179            if (_param._size_data_completion == 0)
     
    189189          {
    190190            bool   have_when  = false;
    191             string print_when = "";
    192             string print_and  = "";
     191            std::string print_when = "";
     192            std::string print_and  = "";
    193193           
    194194            if (_param._direction   == external_direction)
     
    213213              print_when = "when " + print_when;
    214214
    215             string print_expr_completion;
     215            std::string print_expr_completion;
    216216
    217217            if (_param._size_data_completion == 0)
     
    227227          {
    228228            bool   have_when  = false;
    229             string print_when = "";
    230             string print_and  = "";
     229            std::string print_when = "";
     230            std::string print_and  = "";
    231231           
    232232            if (_param._direction   == external_direction)
     
    253253          {
    254254            bool   have_when  = false;
    255             string print_when = "";
    256             string print_and  = "";
     255            std::string print_when = "";
     256            std::string print_and  = "";
    257257           
    258258            if (_param._direction   == external_direction)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/Makefile.deps

    r53 r75  
    1313include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1414endif
    15 ifndef Group
    16 include                         $(DIR_MORPHEO)/Behavioural/Generic/Group/Makefile.deps
    17 endif
    1815
    1916#-----[ Library ]------------------------------------------
    2017Victim_Pseudo_LRU_LIBRARY               =       -lVictim_Pseudo_LRU             \
    21                                                 $(Group_LIBRARY)                \
    2218                                                $(Behavioural_LIBRARY) 
    2319
    2420Victim_Pseudo_LRU_DIR_LIBRARY           =       -L$(DIR_MORPHEO)/Behavioural/Generic/Victim/Victim_Pseudo_LRU/lib       \
    25                                                 $(Group_DIR_LIBRARY)                                                    \
    2621                                                $(Behavioural_DIR_LIBRARY)     
    2722
     
    3126                                @\
    3227                                $(MAKE)  Behavioural_library; \
    33                                 $(MAKE)  Group_library; \
    3428                                $(MAKE) --directory=$(DIR_MORPHEO)/Behavioural/Generic/Victim/Victim_Pseudo_LRU --makefile=Makefile;
    35                                
     29
    3630Victim_Pseudo_LRU_library_clean :
    3731                                @\
    3832                                $(MAKE)  Behavioural_library_clean; \
    39                                 $(MAKE)  Group_library_clean; \
    4033                                $(MAKE) --directory=$(DIR_MORPHEO)/Behavioural/Generic/Victim/Victim_Pseudo_LRU --makefile=Makefile clean;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/SelfTest/src/test.cpp

    r44 r75  
    3636      exit (EXIT_FAILURE);
    3737    }
     38
     39#ifdef STATISTICS
     40  morpheo::behavioural::Parameters_Statistics * param_stat = new morpheo::behavioural::Parameters_Statistics(5,50);
     41#endif
     42
    3843  Victim_Pseudo_LRU * _Victim_Pseudo_LRU = new Victim_Pseudo_LRU (name.c_str(),
    3944#ifdef STATISTICS
    40                                              morpheo::behavioural::Parameters_Statistics(5,50),
    41 #endif
    42                                              param);
     45                                                                  param_stat,
     46#endif
     47                                                                  param);
    4348 
    4449#ifdef SYSTEMC
     
    207212
    208213  delete _Victim_Pseudo_LRU;
     214
     215#ifdef STATISTICS
     216  delete param_stat;
     217#endif
     218
    209219}
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/include/Parameters.h

    r15 r75  
    3535  public : ~Parameters () ;
    3636
    37   public : string msg_error (void);
    38 
    39   public :        string   print      (uint32_t depth);
    40   public : friend ostream& operator<< (ostream& output_stream,
    41                                        morpheo::behavioural::generic::victim::victim_pseudo_lru::Parameters & x);
     37  public :        std::string   msg_error  (void);
     38  public :        std::string   print      (uint32_t depth);
     39  public : friend std::ostream& operator<< (std::ostream& output_stream,
     40                                            morpheo::behavioural::generic::victim::victim_pseudo_lru::Parameters & x);
    4241  };
    4342
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/include/Types.h

    r44 r75  
    99 */
    1010
    11 #include "Common/include/Types.h"
     11#include "Behavioural/include/Types.h"
    1212
    1313namespace morpheo {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/include/Victim_Pseudo_LRU.h

    r44 r75  
    3535#include "Behavioural/Generic/Victim/Victim_Pseudo_LRU/include/Types.h"
    3636#ifdef STATISTICS
    37 #include "Behavioural/Generic/Victim/Victim_Pseudo_LRU/include/Statistics.h"
     37#include "Behavioural/include/Stat.h"
    3838#endif
    3939#ifdef VHDL
    4040#include "Behavioural/include/Vhdl.h"
    4141#endif
    42 #ifdef POSITION
    4342#include "Behavioural/include/Component.h"
    44 #else
    45 #include "Behavioural/include/Interfaces.h"
    46 #endif
    47 
    48 using namespace std;
    4943
    5044namespace morpheo {
     
    157151    }
    158152
    159   public : string print ()
    160     {
    161       string res = "";
     153  public : std::string print ()
     154    {
     155      std::string res = "";
    162156
    163157      for (int32_t i=static_cast<int32_t>(_size)-1; i>=0; i--)
     
    170164    // -----[ fields ]----------------------------------------------------
    171165    // Parameters
    172   protected : const string     _name;
     166  protected : const std::string     _name;
    173167
    174168  protected : const Parameters _param;
    175169#ifdef STATISTICS
    176   private   : Statistics                     * _stat;
    177 #endif
    178 
    179 #ifdef POSITION
    180   private   : Component                      * _component;
    181 #endif
     170  public    : Stat                           * _stat;
     171#endif
     172
     173  public    : Component                      * _component;
    182174  private   : Interfaces                     * _interfaces;
    183175
     
    215207  public  :          Victim_Pseudo_LRU              (
    216208#ifdef SYSTEMC
    217                                               sc_module_name                              name,
     209                                              sc_module_name                                name,
    218210#else                                         
    219                                               string                                      name,
     211                                              std::string                                   name,
    220212#endif                                         
    221213#ifdef STATISTICS
    222                                               morpheo::behavioural::Parameters_Statistics param_statistics,
    223 #endif
    224                                               Parameters                                  param );
     214                                              morpheo::behavioural::Parameters_Statistics * param_statistics,
     215#endif
     216                                              Parameters                                    param );
    225217                                               
    226218  public  :          Victim_Pseudo_LRU              (Parameters param );
     
    233225  public  : void     transition                (void);
    234226  public  : void     genMealy_access           (void);
    235 #endif                                         
    236 #ifdef STATISTICS
    237   public  : string   statistics                (uint32_t depth);
    238227#endif
    239228                                               
     
    244233#endif                                         
    245234                                               
    246 #ifdef VHDL_TESTBENCH                         
    247   private : void     vhdl_testbench_transition (void);
    248 #endif
    249 
     235#ifdef STATISTICS
     236  public  : void     statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
     237#endif
     238
     239#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     240  private : void     end_cycle                 (void);
     241#endif
    250242  };
    251243
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Parameters_msg_error.cpp

    r15 r75  
    99#include "Behavioural/Generic/Victim/Victim_Pseudo_LRU/include/Types.h"
    1010#include <sstream>
    11 using namespace std;
    1211
    1312namespace morpheo {
     
    1716namespace victim_pseudo_lru {
    1817
    19   string Parameters::msg_error(void)
     18  std::string Parameters::msg_error(void)
    2019  {
    21     string msg = "";
     20    std::string msg = "";
    2221
    2322    if (_nb_entity < 2)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Parameters_print.cpp

    r15 r75  
    22 * $Id$
    33 *
    4  * [ Description ]
     4 * [ Description ]
    55 *
    66 */
    77
    88#include "Behavioural/Generic/Victim/Victim_Pseudo_LRU/include/Parameters.h"
     9#include "Behavioural/include/XML.h"
    910#include <sstream>
    10 using namespace std;
    1111
    1212namespace morpheo {
     
    1616namespace victim_pseudo_lru {
    1717
    18   string Parameters::print (uint32_t depth)
     18  std::string Parameters::print (uint32_t depth)
    1919  {
    20     string tab = string(depth,'\t');
    21     ostringstream msg;
    22     msg << tab << "<victim_pseudo_lru>" << endl
    23         << tab << "\t<nb_entity  value=\"" << _nb_entity  << "\" />" << endl
    24         << tab << "\t<nb_access  value=\"" << _nb_access  << "\" />" << endl
    25         << tab << "\t<nb_update  value=\"" << _nb_update  << "\" />" << endl
    26         << tab << "\t<size_table value=\"" << _size_table << "\" />" << endl
    27         << tab << "</victim_pseudo_lru>" << endl;
    28    
    29     return msg.str();
     20    XML xml ("victim_pseudo_lru");
     21
     22    xml.balise_open("victim_pseudo_lru");
     23    xml.singleton_begin("nb_entity "); xml.attribut("value",toString(_nb_entity )); xml.singleton_end();
     24    xml.singleton_begin("nb_access "); xml.attribut("value",toString(_nb_access )); xml.singleton_end();
     25    xml.singleton_begin("nb_update "); xml.attribut("value",toString(_nb_update )); xml.singleton_end();
     26    xml.singleton_begin("size_table"); xml.attribut("value",toString(_size_table)); xml.singleton_end();
     27    xml.balise_close();
     28
     29    return xml.get_body(depth);
    3030  };
    3131
    32   ostream& operator<< (ostream& output_stream ,
    33                        morpheo::behavioural::generic::victim::victim_pseudo_lru::Parameters & x)
     32  std::ostream& operator<< (std::ostream& output_stream ,
     33                            morpheo::behavioural::generic::victim::victim_pseudo_lru::Parameters & x)
    3434  {
    3535    output_stream << x.print(0);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Victim_Pseudo_LRU.cpp

    r66 r75  
    1717  Victim_Pseudo_LRU::Victim_Pseudo_LRU (sc_module_name name,
    1818#else
    19   Victim_Pseudo_LRU::Victim_Pseudo_LRU (string name,
     19  Victim_Pseudo_LRU::Victim_Pseudo_LRU (std::string name,
    2020#endif
    2121#ifdef STATISTICS
    22                           morpheo::behavioural::Parameters_Statistics             param_statistics,
     22                          morpheo::behavioural::Parameters_Statistics * param_statistics,
    2323#endif
    2424                          morpheo::behavioural::generic::victim::victim_pseudo_lru::Parameters param ):
     
    3737   
    3838    // Allocation of statistics
    39     _stat = new Statistics (static_cast<string>(_name),
    40                             param_statistics          ,
    41                             param);
     39    statistics_declaration(param_statistics);
    4240#endif
    4341
     
    9391
    9492#ifdef STATISTICS
    95     _stat->generate_file(statistics(0));
    96    
    9793    delete _stat;
    9894#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Victim_Pseudo_LRU_allocation.cpp

    r42 r75  
    1717  void Victim_Pseudo_LRU::allocation (void)
    1818  {
    19 #ifdef POSITION
    2019    _component   = new Component ();
    2120
    22     Entity * entity = _component->set_entity (_name                  ,
    23                                              "Select_Priority_Fixed",
    24                                              COMBINATORY            );
     21    Entity * entity = _component->set_entity (_name                 
     22                                              ,"Select_Priority_Fixed"
     23#ifdef POSITION
     24                                              ,COMBINATORY           
     25#endif
     26                                              );
    2527   
    2628    _interfaces = entity->set_interfaces();
    27 #else
    28     _interfaces = new Interfaces();
    29 #endif
    3029
    3130    // ~~~~~[ Interface : "" ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    3231    {
    33       Interface_fifo * interface = _interfaces->set_interface("", IN  ,WEST, "Generalist interface");
     32      Interface_fifo * interface = _interfaces->set_interface(""
     33#ifdef POSITION
     34                                                              , IN  ,WEST, "Generalist interface"
     35#endif
     36                                                              );
    3437     
    3538      in_CLOCK        = interface->set_signal_clk              ("clock" ,1);
     
    4750      for (uint32_t i=0; i<_param._nb_access; i++)
    4851        {
    49           Interface_fifo * interface = _interfaces->set_interface("access_"+toString(i), IN  ,WEST, "Access");
     52          Interface_fifo * interface = _interfaces->set_interface("access_"+toString(i)
     53#ifdef POSITION
     54                                                                  , IN  ,WEST, "Access"
     55#endif
     56                                                                  );
    5057
    5158           in_ACCESS_VAL     [i] = interface->set_signal_valack_in        ("val"    , VAL);
     
    6976      for (uint32_t i=0; i<_param._nb_update; i++)
    7077        {
    71           Interface_fifo * interface = _interfaces->set_interface("update_"+toString(i), IN  ,EAST, "Update");
     78          Interface_fifo * interface = _interfaces->set_interface("update_"+toString(i)
     79#ifdef POSITION
     80                                                                  , IN  ,EAST, "Update"
     81#endif
     82                                                                  );
    7283
    7384          in_UPDATE_VAL     [i] = interface->set_signal_valack_in        ("val"    , VAL);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Victim_Pseudo_LRU_end_cycle.cpp

    r59 r75  
    1 #ifdef VHDL_TESTBENCH
     1#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    22/*
    33 * $Id$
     
    1515namespace victim_pseudo_lru {
    1616
     17#undef  FUNCTION
     18#define FUNCTION "Victim_Pseudo_LRU::end_cycle"
     19void Victim_Pseudo_LRU::end_cycle ()
     20  {
     21    log_printf(FUNC,Victim_Pseudo_LRU,FUNCTION,"Begin");
    1722
    18   void Victim_Pseudo_LRU::vhdl_testbench_transition (void)
    19   {
     23#ifdef STATISTICS
     24    _stat->end_cycle();
     25#endif   
     26
     27#ifdef VHDL_TESTBENCH
    2028    // Evaluation before read the ouput signal
    21 //     sc_start(0);
     29//  sc_start(0);
     30    _interfaces->testbench();
     31#endif
    2232
    23     _interfaces->testbench();
     33    log_printf(FUNC,Victim_Pseudo_LRU,FUNCTION,"End");
    2434  };
    2535
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Victim_Pseudo_LRU_statistics_declaration.cpp

    r59 r75  
    1515namespace victim_pseudo_lru {
    1616
    17  
    18   string Victim_Pseudo_LRU::statistics (uint32_t depth)
     17#undef  FUNCTION
     18#define FUNCTION "Victim_Pseudo_LRU::statistics_declaration"
     19  void Victim_Pseudo_LRU::statistics_declaration (morpheo::behavioural::Parameters_Statistics * param_statistics)
    1920  {
    20     return _stat->print(depth);
     21    log_printf(FUNC,Victim_Pseudo_LRU,FUNCTION,"Begin");
     22
     23    _stat = new Stat (static_cast<std::string>(_name),
     24                      "Victim_Pseudo_LRU",
     25                      param_statistics);
     26   
     27    log_printf(FUNC,Victim_Pseudo_LRU,FUNCTION,"End");
    2128  };
    2229
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Victim_Pseudo_LRU_transition.cpp

    r42 r75  
    6161      }//end for i
    6262
    63 #ifdef STATISTICS
    64     _stat->add(_stat_nb_access,
    65                _stat_nb_update);
    66 #endif   
    67    
    68 #ifdef VHDL_TESTBENCH
    69     vhdl_testbench_transition ();
     63#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
     64    end_cycle ();
    7065#endif
    7166  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Victim_Pseudo_LRU_vhdl_body.cpp

    r42 r75  
    4040        // Read the table
    4141       
    42         string access_address;
     42        std::string access_address;
    4343
    4444        if (_param._size_table>1)
     
    5858            for (int32_t k=(1<<j)-1; k<static_cast<int32_t>(_param._nb_entity-1); k+=(1<<(j+1)))
    5959              {
    60                 string cond = "";
     60                std::string cond = "";
    6161               
    6262                // Create the condition
     
    7070                  }
    7171               
    72                 string print_else = (k==(1<<j)-1)?"     ":"else ";
     72                std::string print_else = (k==(1<<j)-1)?"     ":"else ";
    7373
    7474                vhdl->set_body ("\t"+print_else+"access_entry_"+toString(i)+"("+toString(k)+") "+cond);
     
    9393            {
    9494              bool   have_cond = false;
    95               string cond      = "";
     95              std::string cond      = "";
    9696             
    9797              // condition to change the bit
     
    126126            {
    127127              bool   have_cond = false;
    128               string cond      = "";
     128              std::string cond      = "";
    129129             
    130130              // condition to change the bit
     
    144144              if (have_cond == true)
    145145                {
    146                   string update_address;
     146                  std::string update_address;
    147147
    148148                  if (_param._size_table>1)
     
    170170    for (uint32_t i=0; i<_param._nb_access; i++)
    171171      {
    172         string access_address;
     172        std::string access_address;
    173173
    174174        if (_param._size_table>1)
     
    185185    for (uint32_t i=0; i<_param._nb_update; i++)
    186186      {
    187         string update_address;
     187        std::string update_address;
    188188
    189189        if (_param._size_table>1)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/include/New_Component.h

    r74 r75  
    9797//public  : void        genMoore                  (void);
    9898#endif                                         
    99 #ifdef STATISTICS
    100   public  : void        statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
    101 #endif
    102                                                
     99
    103100#if VHDL                                       
    104101  public  : void        vhdl                      (void);
     
    106103  private : void        vhdl_body                 (Vhdl * & vhdl);
    107104#endif                                         
    108                                                
     105
     106#ifdef STATISTICS
     107  public  : void        statistics_declaration    (morpheo::behavioural::Parameters_Statistics * param_statistics);
     108#endif
    109109#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    110110  private : void        end_cycle                 (void);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_allocation.cpp

    r74 r75  
    2424                               )
    2525  {
    26     string rename;
    27 
    2826    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    2927
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_statistics_declaration.cpp

    r71 r75  
    1919    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    2020
    21     _stat = new Stat (static_cast<string>(_name),
     21    _stat = new Stat (static_cast<std::string>(_name),
    2222                      "@COMPONENT",
    2323                      param_statistics);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Component.h

    r71 r75  
    4444  private   : const Tusage_t        _usage;
    4545  private   : Entity              * _entity        ;
    46   private   : list<Tcomponent_t*> * _list_component;
     46  private   : std::list<Tcomponent_t*> * _list_component;
    4747
    4848    // -----[ methods ]---------------------------------------------------
     
    102102  public    : void                  generate_file     (void);
    103103#endif   
    104   public    : friend ostream&       operator<<        (ostream& output_stream,
    105                                                        morpheo::behavioural::Component & x);
     104  public    : friend std::ostream&       operator<<        (std::ostream& output_stream,
     105                                                            morpheo::behavioural::Component & x);
    106106  };
    107107
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Configuration_Parameters.h

    r71 r75  
    4545    // methods to print and test parameters_configuration
    4646  public   : std::string          print                      (uint32_t depth);
    47   public   : friend ostream& operator<<                 (ostream& output_stream,
    48                                                          morpheo::behavioural::Configuration_Parameters & x);
     47  public   : friend std::ostream& operator<<                 (std::ostream& output_stream,
     48                                                              morpheo::behavioural::Configuration_Parameters & x);
    4949  };
    5050
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Entity.h

    r71 r75  
    8484  public    : XML                   toXML_mapping     (void);
    8585#endif
    86   public    : friend ostream&       operator<<        (ostream& output_stream,
    87                                                        morpheo::behavioural::Entity & x);
     86  public    : friend std::ostream&       operator<<        (std::ostream& output_stream,
     87                                                            morpheo::behavioural::Entity & x);
    8888  };
    8989
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Interface.h

    r71 r75  
    4545#endif
    4646
    47   protected : list<Signal *>      * _list_signal  ;
     47  protected : std::list<Signal *>      * _list_signal  ;
    4848
    4949#ifdef POSITION
     
    8888                                                          uint32_t        size     ,
    8989                                                          presence_port_t presence_port = PORT_VHDL_YES_TESTBENCH_YES);
    90   public    : list<Signal *>      * get_signal_list      (void);
     90  public    : std::list<Signal *>      * get_signal_list      (void);
    9191
    9292#ifdef SYSTEMC
     
    207207#  ifdef VHDL_TESTBENCH
    208208  public    : void                  set_signal           (Vhdl * & vhdl);
    209   public    : void                  get_signal           (list<std::string> * & list_signal);
     209  public    : void                  get_signal           (std::list<std::string> * & list_signal);
    210210#  endif
    211211#endif
     
    237237  public    : XML                   toXML_mapping        (void);
    238238#endif
    239   public    : friend ostream&       operator<<           (ostream& output_stream,
    240                                                           morpheo::behavioural::Interface & x);
     239  public    : friend std::ostream&       operator<<           (std::ostream& output_stream,
     240                                                               morpheo::behavioural::Interface & x);
    241241
    242242  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Interface_fifo.h

    r57 r75  
    3131  class Interface_fifo : public Interface
    3232  {
    33   private   : list<Signal *>      * _list_signal_val;
    34   private   : list<Signal *>      * _list_signal_ack;
     33  private   : std::list<Signal *>      * _list_signal_val;
     34  private   : std::list<Signal *>      * _list_signal_ack;
    3535
    3636#ifdef VHDL_TESTBENCH
    3737  private   : bool                  _test_exhaustive;
    38   private   : list<uint32_t>      * _list_cycle   ;
     38  private   : std::list<uint32_t>      * _list_cycle   ;
    3939#endif
    4040
    4141   // -----[ methods ]---------------------------------------------------
    42   public    :                       Interface_fifo       (string         name       
     42  public    :                       Interface_fifo       (std::string         name       
    4343#ifdef POSITION
    4444                                                          ,direction_t    direction   
     
    5151  public    :                       ~Interface_fifo      ();
    5252
    53   public    : Signal *              set_signal_valack    (string          name     ,
     53  public    : Signal *              set_signal_valack    (std::string          name     ,
    5454                                                          direction_t     direction,
    5555                                                          val_ack_t       val_ack  ,
     
    6666    }
    6767
    68   public    : sc_in <bool> *        set_signal_valack_in (string          name     ,
     68  public    : sc_in <bool> *        set_signal_valack_in (std::string          name     ,
    6969                                                          val_ack_t       val_ack  ,
    7070                                                          presence_port_t presence_port=PORT_VHDL_YES_TESTBENCH_YES)
     
    8686    }
    8787
    88   public    : sc_out<bool> *        set_signal_valack_out(string          name     ,
     88  public    : sc_out<bool> *        set_signal_valack_out(std::string          name     ,
    8989                                                          val_ack_t       val_ack  ,
    9090                                                          presence_port_t presence_port=PORT_VHDL_YES_TESTBENCH_YES)
     
    107107  public    : bool                  testbench_transaction(void);
    108108  public    : void                  testbench_cycle      (void);
    109   public    : string                testbench_test       (Vhdl   * & vhdl        ,
    110                                                           string     counter_name,
    111                                                           string     reset_name  );
     109  public    : std::string           testbench_test       (Vhdl   * & vhdl        ,
     110                                                          std::string     counter_name,
     111                                                          std::string     reset_name  );
    112112
    113   public    : string                testbench_test_transaction (Vhdl * & vhdl);
     113  public    : std::string           testbench_test_transaction (Vhdl * & vhdl);
    114114#endif
    115115  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Interfaces.h

    r71 r75  
    2929  private   : const std::string               _name;
    3030  private   : const Tusage_t             _usage;
    31   private   : list<Interface_fifo*>    * _list_interface;
     31  private   : std::list<Interface_fifo*>    * _list_interface;
    3232
    3333    // -----[ methods ]---------------------------------------------------
     
    5050#endif
    5151  private   : std::string                get_interface         (void);
    52   public    :list<Interface_fifo*>* get_interface_list    (void);
     52  public    :std::list<Interface_fifo*>* get_interface_list    (void);
    5353
    5454#ifdef VHDL
    5555  public    : void                  set_port              (Vhdl           * & vhdl          );
    5656#  ifdef VHDL_TESTBENCH
    57   private   : void                  get_signal            (list<std::string>   * & list_signal   );
     57  private   : void                  get_signal            (std::list<std::string>   * & list_signal   );
    5858  private   : void                  set_signal            (Vhdl           * & vhdl          );
    5959#  endif
     
    8282  public    : bool                  test_map              (bool top_level);
    8383
    84   public    : friend ostream&       operator<<            (ostream& output_stream,
    85                                                            morpheo::behavioural::Interfaces & x);
     84  public    : friend std::ostream&       operator<<            (std::ostream& output_stream,
     85                                                                morpheo::behavioural::Interfaces & x);
    8686
    8787  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Signal.h

    r71 r75  
    6666
    6767#ifdef VHDL_TESTBENCH
    68   private   : list<std::string>        * _list_value    ;
     68  private   : std::list<std::string> * _list_value    ;
    6969#endif
    7070
     
    182182
    183183  public    : void              set_signal      (Vhdl * & vhdl);
    184   public    : void              get_name_vhdl   (list<std::string> * & list_signal);
     184  public    : void              get_name_vhdl   (std::list<std::string> * & list_signal);
    185185
    186186  public    : void              testbench        (void);
     
    193193  public    : XML               toXML           (void);
    194194
    195   public    : friend ostream&   operator<<      (ostream& output_stream,
    196                                                  morpheo::behavioural::Signal & x);
     195  public    : friend std::ostream&   operator<<      (std::ostream& output_stream,
     196                                                      morpheo::behavioural::Signal & x);
    197197
    198198  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Stat.h

    r74 r75  
    9494    private : void               generate_file   (void);
    9595
     96    private : bool               have_counter    (void);
     97
    9698    public  : void               add_stat        (Stat * stat);
    9799
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component.cpp

    r57 r75  
    1515  {
    1616    log_printf(FUNC,Behavioural,"Component::Component","Begin");
    17     _list_component = new list<Tcomponent_t *>;
     17    _list_component = new std::list<Tcomponent_t *>;
    1818    log_printf(FUNC,Behavioural,"Component::Component","End");
    1919  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_find_entity.cpp

    r57 r75  
    1111namespace behavioural          {
    1212
    13   Entity * Component::find_entity (string name)
     13  Entity * Component::find_entity (std::string name)
    1414  {
    1515    log_printf(FUNC,Behavioural,"Component::find_entity", "Begin");
     
    2222      if (_list_component->empty()== false)
    2323        {
    24           list<Tcomponent_t *>::iterator i = _list_component->begin();
     24          std::list<Tcomponent_t *>::iterator i = _list_component->begin();
    2525         
    2626          while (i != _list_component->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_get_component.cpp

    r57 r75  
    1414#define FUNCTION "Component::get_component"
    1515
    16   string Component::get_component (void)
     16  std::string Component::get_component (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1919
    2020    uint32_t               depth          = 0;
    21     string                 separator      = "\n";
     21    std::string                 separator      = "\n";
    2222    bool                   last_separator = false;
    2323
    24     list<Tcomponent_t *>::iterator  i  = _list_component->begin();
     24    std::list<Tcomponent_t *>::iterator  i  = _list_component->begin();
    2525    bool                   empty = _list_component->empty();
    2626
    27     string                 tab   = string(depth,'\t');
    28     ostringstream          text;
     27    std::string                 tab   = std::string(depth,'\t');
     28    std::ostringstream          text;
    2929
    3030    if (not empty)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_get_entity.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Component::get_entity"
    15   string Component::get_entity (void)
     15  std::string Component::get_entity (void)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1818
    19     ostringstream text;
     19    std::ostringstream text;
    2020    text << *(_entity);
    2121
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_interface_map.cpp

    r44 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Component::interface_map"
    16   void Component::interface_map (string component_src ,
    17                                  string port_src      ,
    18                                  string component_dest,
    19                                  string port_dest     )
     16  void Component::interface_map (std::string component_src ,
     17                                 std::string port_src      ,
     18                                 std::string component_dest,
     19                                 std::string port_dest     )
    2020  {
    2121    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2424    Entity * entity_dest = find_entity(component_dest);
    2525
    26     string name_entity = _entity->get_name();
     26    std::string name_entity = _entity->get_name();
    2727
    2828    if (entity_dest == NULL)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_port_map.cpp

    r65 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Component::port_map"
    15   void Component::port_map (string component_src ,
    16                             string port_src      ,
    17                             string component_dest,
    18                             string port_dest     )
     15  void Component::port_map (std::string component_src ,
     16                            std::string port_src      ,
     17                            std::string component_dest,
     18                            std::string port_dest     )
    1919  {
    2020    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2121
    22     string name_entity = _entity->get_name();
     22    std::string name_entity = _entity->get_name();
    2323
    2424    // First entity
     
    111111
    112112
    113   void Component::port_map (string component_src ,
    114                             string port_src      )
     113  void Component::port_map (std::string component_src ,
     114                            std::string port_src      )
    115115  {
    116116    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_print.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Component::operator<<"
    16   ostream& operator<< (ostream& output_stream,
    17                        morpheo::behavioural::Component & x)
     16  std::ostream& operator<< (std::ostream& output_stream,
     17                            morpheo::behavioural::Component & x)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2020
    21     output_stream << x.get_entity    () << endl;
    22     output_stream << x.get_component () << endl;
     21    output_stream << x.get_entity    () << std::endl;
     22    output_stream << x.get_component () << std::endl;
    2323
    2424    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_set_entity.cpp

    r57 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Component::set_entity"
    15   Entity * Component::set_entity (string        name   
    16                                   ,string        type   
     15  Entity * Component::set_entity (std::string        name   
     16                                  ,std::string        type   
    1717#ifdef POSITION
    1818                                  ,schema_t      schema
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_signal_internal.cpp

    r62 r75  
    1919
    2020    // create name of internal's signal
    21     string str_entity = entity_productor->get_name();
    22     string str_signal = signal_productor->get_name();
     21    std::string str_entity = entity_productor->get_name();
     22    std::string str_signal = signal_productor->get_name();
    2323   
    2424    UpperCase (str_entity);
    2525    UpperCase (str_signal);
    2626   
    27     string name_internal = "internal_"+str_entity+"_"+str_signal;
     27    std::string name_internal = "internal_"+str_entity+"_"+str_signal;
    2828   
    2929    // test if internal's signal exist ... else, create
     
    4040          throw (ErrorMorpheo ("<Component::port_map> Component \""+_entity->get_name()+"\", doesn't have an interface \"\"."));
    4141       
    42         string signame = entity_productor->get_name()+"_"+signal_productor->get_name();
     42        std::string signame = entity_productor->get_name()+"_"+signal_productor->get_name();
    4343       
    4444        // Signal's creation
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_test_map.cpp

    r62 r75  
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1818
    19     string name = _entity->get_name();
     19    std::string name = _entity->get_name();
    2020    bool test_ok = true;
    2121    if (_list_component->empty () == true)
     
    3131        log_printf(INFO,Behavioural,FUNCTION, "Test all internal component");
    3232       
    33         for (list<Tcomponent_t *>::iterator i= _list_component->begin();
     33        for (std::list<Tcomponent_t *>::iterator i= _list_component->begin();
    3434             i != _list_component->end();
    3535             ++i)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_toXML.cpp

    r57 r75  
    2727    if (_list_component->empty()== false)
    2828      {
    29         list<Tcomponent_t *>::iterator i = _list_component->begin();
     29        std::list<Tcomponent_t *>::iterator i = _list_component->begin();
    3030
    3131        while (i != _list_component->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_vhdl_instance.cpp

    r65 r75  
    1919
    2020    uint32_t             cpt = 0;
    21     map<Signal *,string> tab;
     21    std::map<Signal *,std::string> tab;
    2222
    2323    // buffer all output
     
    2525    {
    2626      // for each interface
    27       list<Interface_fifo *>         * list_interface = (_entity)->get_interfaces_list()->get_interface_list();
    28       list<Interface_fifo *>::iterator j              = list_interface->begin();
     27      std::list<Interface_fifo *>         * list_interface = (_entity)->get_interfaces_list()->get_interface_list();
     28      std::list<Interface_fifo *>::iterator j              = list_interface->begin();
    2929      if (not list_interface->empty())
    3030        {
     
    3636            {
    3737              // for each signal
    38               list<Signal *>         * list_signal = (*j)->get_signal_list();
    39               list<Signal *>::iterator k           = list_signal->begin();
     38              std::list<Signal *>         * list_signal = (*j)->get_signal_list();
     39              std::list<Signal *>::iterator k           = list_signal->begin();
    4040              if (not list_signal->empty())
    4141                {
     
    4949                        {
    5050                          // Create name
    51                           string signal_name = "signal_"+toString(cpt++);
     51                          std::string signal_name = "signal_"+toString(cpt++);
    5252                         
    5353                          tab [signal                           ] = signal_name;
     
    7676
    7777    // for each entity
    78     list<Tcomponent_t *>         * list_component = _list_component;
    79     list<Tcomponent_t *>::iterator i              = list_component->begin();
     78    std::list<Tcomponent_t *>         * list_component = _list_component;
     79    std::list<Tcomponent_t *>::iterator i              = list_component->begin();
    8080    if (not list_component->empty())
    8181      {
     
    9090            if (instance & INSTANCE_COMPONENT)
    9191            {
    92               list<string> list_port_map;
     92              std::list<std::string> list_port_map;
    9393             
    9494              // for each interface
    95               list<Interface_fifo *>         * list_interface = entity->get_interfaces_list()->get_interface_list();
    96               list<Interface_fifo *>::iterator j              = list_interface->begin();
     95              std::list<Interface_fifo *>         * list_interface = entity->get_interfaces_list()->get_interface_list();
     96              std::list<Interface_fifo *>::iterator j              = list_interface->begin();
    9797              if (not list_interface->empty())
    9898                {
     
    100100                    {
    101101                      // for each signal
    102                       list<Signal *>         * list_signal = (*j)->get_signal_list();
    103                       list<Signal *>::iterator k           = list_signal->begin();
     102                      std::list<Signal *>         * list_signal = (*j)->get_signal_list();
     103                      std::list<Signal *>::iterator k           = list_signal->begin();
    104104                      if (not list_signal->empty())
    105105                        {
     
    112112                                {
    113113                                  Signal * signal_dest = signal_src->get_connect_to_signal();
    114                                   string   name_src    = signal_src->get_name();
    115                                   string   name_dest;
     114                                  std::string   name_src    = signal_src->get_name();
     115                                  std::string   name_dest;
    116116                                 
    117117//                              // Test if destination signal is a interface port ?
     
    119119//                                {
    120120                                  // find if signal is already link
    121                                   map<Signal *,string>::iterator it = tab.find(signal_dest);
     121                                  std::map<Signal *,std::string>::iterator it = tab.find(signal_dest);
    122122                                  if (tab.find(signal_dest) == tab.end())
    123123                                    {
     
    144144//                                    {
    145145//                                      // Take buffer's signal
    146 //                                      map<Signal *,string>::iterator it = tab.find(signal_dest);
     146//                                      map<Signal *,std::string>::iterator it = tab.find(signal_dest);
    147147//                                      name_dest        = (*it).second;
    148148
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Configuration_Parameters.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Configuration_Parameters::Configuration_Parameters"
    16   Configuration_Parameters::Configuration_Parameters  (string   name   ,
     16  Configuration_Parameters::Configuration_Parameters  (std::string   name   ,
    1717                                                       uint32_t value  ,
    1818                                                       uint32_t min    ,
    1919                                                       uint32_t max    ,
    20                                                        string   step   ,
     20                                                       std::string   step   ,
    2121                                                       uint32_t value_default,
    2222                                                       uint32_t level  ,
    23                                                        string   comment):
     23                                                       std::string   comment):
    2424    _name    (name         ),
    2525    _value   (value        ),
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Configuration_Parameters_print.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Configuration_Parameters::print"
    17   string Configuration_Parameters::print (uint32_t depth)
     17  std::string Configuration_Parameters::print (uint32_t depth)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    3838#undef  FUNCTION
    3939#define FUNCTION "Configuration_Parameters::operator<<"
    40   ostream& operator<< (ostream& output_stream,
    41                        morpheo::behavioural::Configuration_Parameters & x)
     40  std::ostream& operator<< (std::ostream& output_stream,
     41                            morpheo::behavioural::Configuration_Parameters & x)
    4242  {
    4343    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity.cpp

    r57 r75  
    1111namespace behavioural          {
    1212
    13   Entity::Entity  ( string       name   
    14                    ,string       type 
     13  Entity::Entity  ( std::string       name   
     14                   ,std::string       type 
    1515#ifdef POSITION
    1616                   ,schema_t     schema
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_find_interface.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Entity::find_interface"
    15   Interface * Entity::find_interface (string name)
     15  Interface * Entity::find_interface (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_find_signal.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Entity::find_signal"
    15   Signal * Entity::find_signal (string name)
     15  Signal * Entity::find_signal (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_comment.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Entity::get_comment"
    17   string Entity::get_comment (void)
     17  std::string Entity::get_comment (void)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    20     string _return = _comment;
     20    std::string _return = _comment;
    2121    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2222    return _return;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_interfaces.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Entity::get_interfaces"
    16   string Entity::get_interfaces (void)
     16  std::string Entity::get_interfaces (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     ostringstream text;
     19    std::ostringstream text;
    2020    text << *(_interfaces);
    2121    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_name.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Entity::get_name"
    16   string Entity::get_name (void)
     16  std::string Entity::get_name (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     string _return = _name;
     19    std::string _return = _name;
    2020    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2121    return _return;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_type.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Entity::get_type"
    16   string Entity::get_type (void)
     16  std::string Entity::get_type (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     string _return = _type;
     19    std::string _return = _type;
    2020    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2121
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_print.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Entity::operator<<"
    16   ostream& operator<< (ostream& output_stream,
    17                        morpheo::behavioural::Entity & x)
     16  std::ostream& operator<< (std::ostream& output_stream,
     17                            morpheo::behavioural::Entity & x)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2020 
    2121#ifdef POSITION
    22     output_stream << x._name + "\t"+x._type+"\t"+toString(x._schema) << endl;
    23     output_stream << x.get_comment()    << endl;
     22    output_stream << x._name + "\t"+x._type+"\t"+toString(x._schema) << std::endl;
     23    output_stream << x.get_comment()    << std::endl;
    2424#else
    25     output_stream << x._name + "\t"+x._type<< endl;
     25    output_stream << x._name + "\t"+x._type<< std::endl;
    2626#endif
    27     output_stream << x.get_interfaces() << endl;
     27    output_stream << x.get_interfaces() << std::endl;
    2828
    2929    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_set_comment.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Entity::set_comment"
    17   void Entity::set_comment (string comment)
     17  void Entity::set_comment (std::string comment)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface.cpp

    r57 r75  
    1111namespace behavioural          {
    1212
    13   Interface::Interface  (string                name         
     13  Interface::Interface  (std::string                name         
    1414#ifdef POSITION
    1515                         ,direction_t           direction   
     
    2727    log_printf(FUNC,Behavioural,"Interface","Begin");
    2828
    29     _list_signal   = new (list<Signal*>);
     29    _list_signal   = new (std::list<Signal*>);
    3030
    3131#ifdef POSITION
     
    7272    if (_list_signal->empty()== false)
    7373      {
    74         list<Signal*>::iterator i = _list_signal->begin();
     74        std::list<Signal*>::iterator i = _list_signal->begin();
    7575
    7676        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo.cpp

    r57 r75  
    1111namespace behavioural          {
    1212
    13   Interface_fifo::Interface_fifo  (string         name       
     13  Interface_fifo::Interface_fifo  (std::string         name       
    1414#ifdef POSITION
    1515                                   ,direction_t    direction   
     
    2828    log_printf(FUNC,Behavioural,"Interface_fifo","Begin");
    2929
    30     _list_signal_val = new (list<Signal*>);
    31     _list_signal_ack = new (list<Signal*>);
     30    _list_signal_val = new (std::list<Signal*>);
     31    _list_signal_ack = new (std::list<Signal*>);
    3232
    3333#ifdef VHDL_TESTBENCH
    3434    _test_exhaustive = true;
    35     _list_cycle      = new list<uint32_t>;
     35    _list_cycle      = new std::list<uint32_t>;
    3636#endif
    3737
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_set_signal.cpp

    r41 r75  
    1111namespace behavioural          {
    1212
    13   Signal * Interface_fifo::set_signal_valack (string          name     ,
     13  Signal * Interface_fifo::set_signal_valack (std::string          name     ,
    1414                                              direction_t     direction,
    1515                                              val_ack_t       val_ack  ,
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_testbench_test.cpp

    r62 r75  
    1212namespace behavioural          {
    1313
    14   string Interface_fifo::testbench_test (Vhdl * & vhdl,
    15                                          string   counter_name,
    16                                          string   reset_name  )
     14  std::string Interface_fifo::testbench_test (Vhdl * & vhdl,
     15                                         std::string   counter_name,
     16                                         std::string   reset_name  )
    1717  {
    1818    log_printf(FUNC,Behavioural,"testbench_test","Begin");
    19     string test_name;
     19    std::string test_name;
    2020   
    2121    if (_test_exhaustive == true)
     
    2828
    2929               test_name        = testbench_test_name        (vhdl);
    30         string test_name_tmp    = test_name+"_tmp";
    31         string test_name_ok     = testbench_test_ok          (vhdl);
    32         string test_transaction = testbench_test_transaction (vhdl);
     30        std::string test_name_tmp    = test_name+"_tmp";
     31        std::string test_name_ok     = testbench_test_ok          (vhdl);
     32        std::string test_transaction = testbench_test_transaction (vhdl);
    3333
    3434        vhdl->set_signal(test_name_tmp,1);
     
    3939            vhdl->set_body ("\t"+test_name_tmp+" <=");
    4040       
    41             list<uint32_t>::iterator i = _list_cycle->begin();
     41            std::list<uint32_t>::iterator i = _list_cycle->begin();
    4242           
    4343            while (i != _list_cycle->end())
     
    6262
    6363            uint32_t                 j = 0;
    64             list<uint32_t>::iterator i = _list_cycle->begin();
     64            std::list<uint32_t>::iterator i = _list_cycle->begin();
    6565           
    6666            while (i != _list_cycle->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_testbench_test_transaction.cpp

    r42 r75  
    1212namespace behavioural          {
    1313
    14   string Interface_fifo::testbench_test_transaction (Vhdl * & vhdl)
     14  std::string Interface_fifo::testbench_test_transaction (Vhdl * & vhdl)
    1515  {
    1616    log_printf(FUNC,Behavioural,"testbench_test_transaction","Begin");
    1717   
    18     string name = testbench_test_transaction_name(vhdl);
     18    std::string name = testbench_test_transaction_name(vhdl);
    1919
    2020    vhdl->set_body(name+" <= '1'");
     
    2323    if (_list_signal_val->empty()== false)
    2424      {
    25         list<Signal*>::iterator i = _list_signal_val->begin();
     25        std::list<Signal*>::iterator i = _list_signal_val->begin();
    2626
    2727        while (i != _list_signal_val->end())
     
    3535    if (_list_signal_ack->empty()== false)
    3636      {
    37         list<Signal*>::iterator i = _list_signal_ack->begin();
     37        std::list<Signal*>::iterator i = _list_signal_ack->begin();
    3838
    3939        while (i != _list_signal_ack->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_testbench_transaction.cpp

    r42 r75  
    2121    if (_list_signal_val->empty()== false)
    2222      {
    23         list<Signal*>::iterator i = _list_signal_val->begin();
     23        std::list<Signal*>::iterator i = _list_signal_val->begin();
    2424
    2525        while ((i != _list_signal_val->end()) and (res == true))
     
    3333    if (_list_signal_ack->empty()== false)
    3434      {
    35         list<Signal*>::iterator i = _list_signal_ack->begin();
     35        std::list<Signal*>::iterator i = _list_signal_ack->begin();
    3636
    3737        while ((i != _list_signal_ack->end()) and (res == true))
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_find_signal.cpp

    r42 r75  
    1212namespace behavioural          {
    1313
    14   Signal * Interface::find_signal (string name)
     14  Signal * Interface::find_signal (std::string name)
    1515  {
    1616    log_printf(FUNC,Behavioural,"find_signal","Begin");
    1717
    18     list<Signal*>::iterator i   = _list_signal->begin();
     18    std::list<Signal*>::iterator i   = _list_signal->begin();
    1919    Signal *                sig = NULL;
    2020    if (_list_signal->empty() == false)
     
    4141
    4242    bool find = false;
    43     list<Signal*>::iterator i = _list_signal->begin();
     43    std::list<Signal*>::iterator i = _list_signal->begin();
    4444    if (_list_signal->empty() == false)
    4545      {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_clock.cpp

    r43 r75  
    2323    if (not _list_signal->empty())
    2424      {
    25         list<Signal*>::iterator i     = _list_signal->begin();
     25        std::list<Signal*>::iterator i     = _list_signal->begin();
    2626       
    2727        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_comment.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interface::get_comment (void)
     15  std::string Interface::get_comment (void)
    1616  {
    1717    log_printf(FUNC,Behavioural,"get_comment","Begin");
    18     string res = _comment;
     18    std::string res = _comment;
    1919    log_printf(FUNC,Behavioural,"get_comment","End");
    2020    return res;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_name.cpp

    r42 r75  
    1212namespace behavioural          {
    1313
    14   string Interface::get_name (void)
     14  std::string Interface::get_name (void)
    1515  {
    1616    log_printf(FUNC,Behavioural,"get_name","Begin");
    17     string res = _name;
     17    std::string res = _name;
    1818    log_printf(FUNC,Behavioural,"get_name","End");
    1919    return res;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_reset.cpp

    r43 r75  
    2323    if (not _list_signal->empty())
    2424      {
    25         list<Signal*>::iterator i = _list_signal->begin();
     25        std::list<Signal*>::iterator i = _list_signal->begin();
    2626       
    2727        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_signal.cpp

    r43 r75  
    1212namespace behavioural          {
    1313
    14   string Interface::get_signal (void)
     14  std::string Interface::get_signal (void)
    1515  {
    1616    log_printf(FUNC,Behavioural,"get_signal","Begin");
    1717
    1818    uint32_t               depth          = 0;
    19     string                 separator      = ",\n";
     19    std::string                 separator      = ",\n";
    2020    bool                   last_separator = false;
    2121
    2222
    23     list<Signal*>::iterator i    = _list_signal->begin();
    24     bool                   empty = _list_signal->empty();
     23    std::list<Signal*>::iterator i    = _list_signal->begin();
     24    bool                         empty = _list_signal->empty();
    2525
    26     string                 tab   = string(depth,'\t');
    27     ostringstream          text;
     26    std::string                 tab   = std::string(depth,'\t');
     27    std::ostringstream          text;
    2828
    2929    if (not empty)
     
    5656#undef  FUNCTION
    5757#define FUNCTION "Interface::get_signal"
    58   void Interface::get_signal (list<string> * & list_signal)
     58  void Interface::get_signal (std::list<std::string> * & list_signal)
    5959  {
    6060    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    6262    if (not _list_signal->empty())
    6363      {
    64         list<Signal*>::iterator i = _list_signal->begin();
     64        std::list<Signal*>::iterator i = _list_signal->begin();
    6565       
    6666        while (i != _list_signal->end())
     
    7878#undef  FUNCTION
    7979#define FUNCTION "Interface::get_signal_list"
    80   list<Signal *> * Interface::get_signal_list (void)
     80  std::list<Signal *> * Interface::get_signal_list (void)
    8181    {
    8282      log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    83       list<Signal *> * _return = _list_signal;
     83      std::list<Signal *> * _return = _list_signal;
    8484      log_printf(FUNC,Behavioural,FUNCTION,"End");
    8585
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_print.cpp

    r42 r75  
    1212namespace behavioural          {
    1313
    14   ostream& operator<< (ostream& output_stream,
    15                        morpheo::behavioural::Interface & x)
     14  std::ostream& operator<< (std::ostream& output_stream,
     15                            morpheo::behavioural::Interface & x)
    1616  {
    1717    log_printf(FUNC,Behavioural,"operator<<","Begin");
    1818#ifdef POSITION
    19     output_stream << x._name + "\t"+toString(x._localisation)+"\t"+toString(x._direction) << endl;
    20     output_stream << x.get_comment() << endl;
     19    output_stream << x._name + "\t"+toString(x._localisation)+"\t"+toString(x._direction) << std::endl;
     20    output_stream << x.get_comment() << std::endl;
    2121#else
    22     output_stream << x._name << endl;
     22    output_stream << x._name << std::endl;
    2323#endif
    24     output_stream << x.get_signal () << endl;
     24    output_stream << x.get_signal () << std::endl;
    2525
    2626// #ifdef VHDL_TESTBENCH
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_set_comment.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   void Interface::set_comment (string comment)
     15  void Interface::set_comment (std::string comment)
    1616  {
    1717    log_printf(FUNC,Behavioural,"set_comment","Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_set_port.cpp

    r41 r75  
    1818    if (not _list_signal->empty())
    1919      {
    20         list<Signal*>::iterator i     = _list_signal->begin();
     20        std::list<Signal*>::iterator i     = _list_signal->begin();
    2121       
    2222        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_set_signal.cpp

    r43 r75  
    1111namespace behavioural          {
    1212
    13   Signal * Interface::set_signal (string          name     ,
     13  Signal * Interface::set_signal (std::string          name     ,
    1414                                  direction_t     direction,
    1515                                  uint32_t        size     ,
     
    1818    log_printf(FUNC,Behavioural,"set_signal","Begin");
    1919
    20     string signame = signal_name(_name, name, direction);
     20    std::string signame = signal_name(_name, name, direction);
    2121
    2222    Signal * sig = new Signal       (signame, direction, size, presence_port);
     
    3939    if (not _list_signal->empty())
    4040      {
    41         list<Signal*>::iterator i = _list_signal->begin();
     41        std::list<Signal*>::iterator i = _list_signal->begin();
    4242       
    4343        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_signal_name.cpp

    r62 r75  
    1111namespace behavioural          {
    1212
    13   string Interface::signal_name          (string      name_interface,
    14                                           string      name_signal   ,
    15                                           direction_t direction     )
     13  std::string Interface::signal_name          (std::string      name_interface,
     14                                               std::string      name_signal   ,
     15                                               direction_t direction     )
    1616  {
    1717    log_printf(FUNC,Behavioural,"signal_name","Begin");
    1818
    19     string str_direction = toString(direction);
    20     string str_interface = name_interface;
    21     string str_signal    = name_signal;
     19    std::string str_direction = toString(direction);
     20    std::string str_interface = name_interface;
     21    std::string str_signal    = name_signal;
    2222
    2323    LowerCase(str_direction);   
     
    2525    UpperCase(str_signal   );
    2626   
    27     string signame = str_direction;
     27    std::string signame = str_direction;
    2828    if (str_interface != "")
    2929      signame += "_"+str_interface;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_test_map.cpp

    r62 r75  
    2222    log_printf(INFO,Behavioural,FUNCTION, "   * Interface \"%s\"",_name.c_str());
    2323   
    24     for (list<Signal*>::iterator i  = _list_signal->begin();
     24    for (std::list<Signal*>::iterator i  = _list_signal->begin();
    2525         i != _list_signal->end();
    2626         ++i)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench.cpp

    r41 r75  
    1919    if (_list_signal->empty()== false)
    2020      {
    21         list<Signal*>::iterator i     = _list_signal->begin();
     21        std::list<Signal*>::iterator i     = _list_signal->begin();
    2222
    2323        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench_body.cpp

    r42 r75  
    1414
    1515  void Interface::testbench_body (Vhdl * & vhdl,
    16                                   string   counter_name,
    17                                   string   reset_name  )
     16                                  std::string   counter_name,
     17                                  std::string   reset_name  )
    1818  {
    1919    log_printf(FUNC,Behavioural,"testbench_body","Begin");
     
    2121    if (_list_signal->empty()== false)
    2222      {
    23         list<Signal*>::iterator i = _list_signal->begin();
     23        std::list<Signal*>::iterator i = _list_signal->begin();
    2424
    2525        vhdl->set_body("------------------------------------------------------");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench_test.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interface::testbench_test (Vhdl * & vhdl,
    16                                     string   counter_name,
    17                                     string   reset_name  )
     15  std::string Interface::testbench_test (Vhdl * & vhdl,
     16                                    std::string   counter_name,
     17                                    std::string   reset_name  )
    1818  {
    1919    log_printf(FUNC,Behavioural,"testbench_test","Begin");
     
    2323    vhdl->set_body("");
    2424
    25     string test_name    = testbench_test_name(vhdl);
    26     string test_name_ok = testbench_test_ok     (vhdl);
     25    std::string test_name    = testbench_test_name(vhdl);
     26    std::string test_name_ok = testbench_test_ok     (vhdl);
    2727
    2828    vhdl->set_body(test_name + " <= '1' when ("+reset_name+" = '0') else "+test_name_ok+";");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench_test_name.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interface::testbench_test_name (Vhdl * & vhdl)
     15  std::string Interface::testbench_test_name (Vhdl * & vhdl)
    1616  {
    1717    log_printf(FUNC,Behavioural,"testbench_test_name","Begin");
    1818
    19     string name;
     19    std::string name;
    2020
    2121    name = "interface_";
     
    3131  };
    3232
    33   string Interface::testbench_test_ok_name (Vhdl * & vhdl)
     33  std::string Interface::testbench_test_ok_name (Vhdl * & vhdl)
    3434  {
    3535    log_printf(FUNC,Behavioural,"testbench_test_ok_name","Begin");
    3636
    37     string name;
     37    std::string name;
    3838
    3939    name = "interface_";
     
    4949  };
    5050
    51   string Interface::testbench_test_transaction_name (Vhdl * & vhdl)
     51  std::string Interface::testbench_test_transaction_name (Vhdl * & vhdl)
    5252  {
    5353    log_printf(FUNC,Behavioural,"testbench_test_transaction_name","Begin");
    5454
    55     string name;
     55    std::string name;
    5656
    5757    name = "interface_";
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench_test_ok.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interface::testbench_test_ok (Vhdl * & vhdl)
     15  std::string Interface::testbench_test_ok (Vhdl * & vhdl)
    1616  {
    1717    log_printf(FUNC,Behavioural,"testbench_test_ok","Begin");
    1818
    19     string test_name = testbench_test_ok_name(vhdl);
     19    std::string test_name = testbench_test_ok_name(vhdl);
    2020
    2121    vhdl->set_body(test_name + " <= '1' when true");
     
    2424    if (_list_signal->empty()== false)
    2525      {
    26         list<Signal*>::iterator i     = _list_signal->begin();
     26        std::list<Signal*>::iterator i     = _list_signal->begin();
    2727
    2828        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_toXML.cpp

    r42 r75  
    2828    if (_list_signal->empty()== false)
    2929      {
    30         list<Signal*>::iterator i     = _list_signal->begin();
     30        std::list<Signal*>::iterator i     = _list_signal->begin();
    3131
    3232        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces.cpp

    r58 r75  
    1111namespace behavioural          {
    1212
    13   Interfaces::Interfaces  (string   name,
     13  Interfaces::Interfaces  (std::string   name,
    1414                           Tusage_t usage):
    1515    _name  (name),
     
    1717  {
    1818    log_printf(FUNC,Behavioural,"Interfaces","Begin");
    19     _list_interface = new list<Interface_fifo*>;
     19    _list_interface = new std::list<Interface_fifo*>;
    2020    log_printf(FUNC,Behavioural,"Interfaces","End");
    2121  };
     
    4141    if (_list_interface->empty()== false)
    4242      {
    43         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     43        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    4444
    4545        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_find_interface.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Interfaces::find_interface"
    15   Interface_fifo * Interfaces::find_interface (string name)
     15  Interface_fifo * Interfaces::find_interface (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2020    if (_list_interface->empty()== false)
    2121      {
    22         list<Interface_fifo*>::iterator i = _list_interface->begin();
     22        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2323
    2424        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_find_signal.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Interfaces::find_signal"
    15   Signal * Interfaces::find_signal (string name)
     15  Signal * Interfaces::find_signal (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2020    if (_list_interface->empty()== false)
    2121      {
    22         list<Interface_fifo*>::iterator i = _list_interface->begin();
     22        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2323
    2424        while (i != _list_interface->end())
     
    5151    if (_list_interface->empty()== false)
    5252      {
    53         list<Interface_fifo*>::iterator i = _list_interface->begin();
     53        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    5454
    5555        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_clock.cpp

    r43 r75  
    2323    if (not _list_interface->empty())
    2424      {
    25         list<Interface_fifo*>::iterator i = _list_interface->begin();
     25        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2626       
    2727        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_cycle.cpp

    r43 r75  
    2222    if (not _list_interface->empty())
    2323      {
    24         list<Interface_fifo*>::iterator i = _list_interface->begin();
     24        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2525       
    2626        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_interface.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Interfaces::get_interface"
    16   string Interfaces::get_interface (void)
     16  std::string Interfaces::get_interface (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1919
    2020    uint32_t               depth          = 0;
    21     string                 separator      = "\n";
     21    std::string                 separator      = "\n";
    2222    bool                   last_separator = false;
    2323
    24     list<Interface_fifo*>::iterator i  = _list_interface->begin();
     24    std::list<Interface_fifo*>::iterator i  = _list_interface->begin();
    2525    bool                   empty = _list_interface->empty();
    2626
    27     string                 tab   = string(depth,'\t');
    28     ostringstream          text;
     27    std::string                 tab   = std::string(depth,'\t');
     28    std::ostringstream          text;
    2929
    3030    if (not empty)
     
    5555#undef  FUNCTION
    5656#define FUNCTION "Interfaces::get_interface_list"
    57   list<Interface_fifo*>* Interfaces::get_interface_list (void)
     57  std::list<Interface_fifo*>* Interfaces::get_interface_list (void)
    5858  {
    5959    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    60     list<Interface_fifo*>* _return =  _list_interface;
     60    std::list<Interface_fifo*>* _return =  _list_interface;
    6161    log_printf(FUNC,Behavioural,FUNCTION,"End");
    6262
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_reset.cpp

    r43 r75  
    2323    if (not _list_interface->empty())
    2424      {
    25         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     25        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    2626       
    2727        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_signal.cpp

    r43 r75  
    1717#undef  FUNCTION
    1818#define FUNCTION "Interfaces::get_signal"
    19   void Interfaces::get_signal (list<string> * & list_signal)
     19  void Interfaces::get_signal (std::list<std::string> * & list_signal)
    2020  {
    2121    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2323    if (not _list_interface->empty())
    2424      {
    25         list<Interface_fifo*>::iterator i = _list_interface->begin();
     25        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2626       
    2727        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_print.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Interfaces::operator<<"
    17   ostream& operator<< (ostream& output_stream ,
    18                        morpheo::behavioural::Interfaces & x)
     17  std::ostream& operator<< (std::ostream& output_stream ,
     18                            morpheo::behavioural::Interfaces & x)
    1919  {
    2020    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    21     output_stream << x.get_interface () << endl;
     21    output_stream << x.get_interface () << std::endl;
    2222    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2323    return output_stream;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_interface.cpp

    r57 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Interfaces::set_interface"
    15   Interface_fifo * Interfaces::set_interface (string         name       
     15  Interface_fifo * Interfaces::set_interface (std::string         name       
    1616#ifdef POSITION
    1717                                              ,direction_t    direction   
     
    3838#undef  FUNCTION
    3939#define FUNCTION "Interfaces::set_interface"
    40   Interface_fifo * Interfaces::set_interface (string         name        ,
     40  Interface_fifo * Interfaces::set_interface (std::string         name        ,
    4141                                              direction_t    direction   ,
    4242                                              localisation_t localisation,
    43                                               string         comment     )
     43                                              std::string         comment     )
    4444  {
    4545    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_port.cpp

    r43 r75  
    2020    if (not _list_interface->empty())
    2121      {
    22         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     22        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    2323       
    2424        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_signal.cpp

    r43 r75  
    2121    if (not _list_interface->empty())
    2222      {
    23         list<Interface_fifo*>::iterator i = _list_interface->begin();
     23        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2424       
    2525        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_test_map.cpp

    r62 r75  
    2222    log_printf(INFO,Behavioural,FUNCTION, "   * Interfaces \"%s\"",_name.c_str());
    2323   
    24     for (list<Interface_fifo*>::iterator i  = _list_interface->begin();
     24    for (std::list<Interface_fifo*>::iterator i  = _list_interface->begin();
    2525         i != _list_interface->end();
    2626         ++i)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_testbench.cpp

    r41 r75  
    1919    if (_list_interface->empty()== false)
    2020      {
    21         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     21        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    2222
    2323        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_testbench_body.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interfaces::testbench_body (Vhdl * & vhdl,
    16                                      string   counter_name,
    17                                      string   reset_name  )
     15  std::string Interfaces::testbench_body (Vhdl * & vhdl,
     16                                     std::string   counter_name,
     17                                     std::string   reset_name  )
    1818  {
    1919    log_printf(FUNC,Behavioural,"testbench_body","Begin");
    2020
    21     string test      = "'1'";
    22     string test_name = "test";
     21    std::string test      = "'1'";
     22    std::string test_name = "test";
    2323    vhdl->set_signal(test_name,1);
    2424
    2525    if (_list_interface->empty()== false)
    2626      {
    27         list<Interface_fifo*>::iterator i = _list_interface->begin();
     27        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2828
    2929        while (i != _list_interface->end())
    3030          {
    3131            (*i)->testbench_body(vhdl, counter_name, reset_name);
    32             string str = (*i)->testbench_test(vhdl, counter_name, reset_name);
     32            std::string str = (*i)->testbench_test(vhdl, counter_name, reset_name);
    3333
    3434            test += " and "+str;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_testbench_generate_file.cpp

    r66 r75  
    1818
    1919    Vhdl   * vhdl        = new Vhdl(_name+"_Testbench");
    20     string   counter     = "counter";
     20    std::string   counter     = "counter";
    2121    Signal * clock       = this->get_clock();
    2222    Signal * reset       = this->get_reset();
    23     string   clock_name  = clock->get_name();
    24     string   reset_name  = reset->get_name();
     23    std::string   clock_name  = clock->get_name();
     24    std::string   reset_name  = reset->get_name();
    2525    uint32_t cycle       = this->get_cycle();
    2626
     
    3636    vhdl->set_body("");
    3737
    38     list<string> * list_signal = new list<string>;
     38    std::list<std::string> * list_signal = new std::list<std::string>;
    3939    this->get_signal (list_signal);
    4040
     
    4343    vhdl->set_body("port map (");
    4444
    45     list<string>::iterator i   = list_signal->begin();
     45    std::list<std::string>::iterator i   = list_signal->begin();
    4646    if (i != list_signal->end())
    4747      {
     
    5858    delete list_signal;
    5959
    60     string test_name = this->testbench_body(vhdl,counter, reset_name);
     60    std::string test_name = this->testbench_body(vhdl,counter, reset_name);
    6161
    6262    vhdl->set_body("");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_toXML.cpp

    r43 r75  
    2222    if (_list_interface->empty()== false)
    2323      {
    24         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     24        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    2525
    2626        while (i != _list_interface->end())
     
    4545    if (_list_interface->empty()== false)
    4646      {
    47         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     47        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    4848
    4949        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal.cpp

    r65 r75  
    1111namespace behavioural          {
    1212
    13   Signal::Signal  (string          name         ,
     13  Signal::Signal  (std::string          name         ,
    1414                   direction_t     direction    ,
    1515                   uint32_t        size         ,
     
    3030    _type_info           = UNKNOW;
    3131#ifdef VHDL_TESTBENCH
    32     _list_value          = new list<string>;
     32    _list_value          = new std::list<std::string>;
    3333#endif
    3434    log_printf(FUNC,Behavioural,"Signal","End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_connect.cpp

    r66 r75  
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1919   
    20     cout << "connected : " << get_name() << "\twith " << signal_dest->get_name() << endl;
     20    //std::cout << "connected : " << get_name() << "\twith " << signal_dest->get_name() << std::endl;
    2121   
    2222    if ((_direction == IN ) and (signal_dest->_direction == IN ))
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_name.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Signal::get_name"
    16   string Signal::get_name (void)
     16  std::string Signal::get_name (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     string _return = _name;
     19    std::string _return = _name;
    2020    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2121    return _return;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_name_vhdl.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Signal::get_name_vhdl"
    17   void Signal::get_name_vhdl (list<string> *& list_signal)
     17  void Signal::get_name_vhdl (std::list<std::string> *& list_signal)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_reset_cycle.cpp

    r43 r75  
    2121    uint32_t num = 0;
    2222    uint32_t cpt = 0;
    23     string   cmp = (active_low==true)?"0":"1";
     23    std::string   cmp = (active_low==true)?"0":"1";
    2424
    2525    if ((_presence_port == RESET_VHDL_YES) or
     
    2828        if (_list_value->empty()== false)
    2929          {
    30             list<string>::iterator i = _list_value->begin();
     30            std::list<std::string>::iterator i = _list_value->begin();
    3131           
    3232            while (i != _list_value->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_print.cpp

    r62 r75  
    1111namespace behavioural          {
    1212
    13   ostream& operator<< (ostream& output_stream,
    14                        morpheo::behavioural::Signal & x)
     13  std::ostream& operator<< (std::ostream& output_stream,
     14                            morpheo::behavioural::Signal & x)
    1515  {
    1616    log_printf(FUNC,Behavioural,"operator<<","Begin");
     
    2020                  << toString(x._direction)    << "\t"
    2121                  << toString(x._presence_port)
    22                   << "sc_signal : " << hex << x._sc_signal << " - " << x._sc_signal_map << dec;
     22                  << "sc_signal : " << std::hex << x._sc_signal << " - " << x._sc_signal_map << std::dec;
    2323
    2424    log_printf(FUNC,Behavioural,"operator<<","End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_test_map.cpp

    r62 r75  
    3131            {
    3232              if (_return == false)
    33                 cerr << "Signal \"" << _name << "\" is not mapped with an outpout port or a component." << endl;
     33                std::cerr << "Signal \"" << _name << "\" is not mapped with an outpout port or a component." << std::endl;
    3434              break;
    3535            }
     
    3737            {
    3838              if (_return == false)
    39                 cerr << "Signal \"" << _name << "\" is not mapped with an input port or a component." << endl;
     39                std::cerr << "Signal \"" << _name << "\" is not mapped with an input port or a component." << std::endl;
    4040              break;
    4141            }
     
    5252          {
    5353            if (_is_map_as_src  == false)
    54               cerr << "Signal \"" << _name << "\" is not mapped as source" << endl;
     54              std::cerr << "Signal \"" << _name << "\" is not mapped as source" << std::endl;
    5555            if (_is_map_as_dest == false)
    56               cerr << "Signal \"" << _name << "\" is not mapped as destination" << endl;
     56              std::cerr << "Signal \"" << _name << "\" is not mapped as destination" << std::endl;
    5757          }
    5858      }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_testbench.cpp

    r42 r75  
    2222        (_presence_port == RESET_VHDL_NO              ) )
    2323      {
    24         string str;
     24        std::string str;
    2525
    2626        switch (_type_info)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_testbench_body.cpp

    r42 r75  
    1313
    1414  void Signal::testbench_body (Vhdl * & vhdl,
    15                                string   counter_name,
    16                                string   reset_name  )
     15                               std::string   counter_name,
     16                               std::string   reset_name  )
    1717  {
    1818    log_printf(FUNC,Behavioural,"testbench_body","Begin");
     
    2424      if (_list_value->empty()== false)
    2525        {
    26           string separator;
     26          std::string separator;
    2727          if (_size == 1)
    2828            separator = "\'";
     
    3030            separator = "\"";
    3131         
    32           string signame = (_direction==OUT)?(_name+"_test"):_name;
     32          std::string signame = (_direction==OUT)?(_name+"_test"):_name;
    3333         
    3434          vhdl->set_body ("");
     
    3737         
    3838          uint32_t cpt = 0;
    39           list<string>::iterator i = _list_value->begin();
     39          std::list<std::string>::iterator i = _list_value->begin();
    4040         
    4141          while (i != _list_value->end())
    4242            {
    43               list<string>::iterator j = i;
     43              std::list<std::string>::iterator j = i;
    4444             
    4545              ++i;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Stat_print.cpp

    r74 r75  
    2121         stat != _list_stat->end();
    2222         stat ++)
    23       xml.text((*stat)->print(depth+1));
     23      xml.text((*stat)->print(1));
    2424   
    2525
    2626    // Test special case
    27     if (_cycle_sum < _nb_cycle_before_begin)
     27
     28    if (have_counter())
    2829      {
    29         xml.comment(_("Number of cycle is less than the number minimal"));
    30       }
    31     else
    32       {
    33         bool stop = false;
    34         cycle_t nb_cycle_min;
    35         cycle_t nb_cycle_max = _nb_cycle_before_begin-1;
    36         for (cycle_t period=0; stop==false; period++)
     30        if (_cycle_sum < _nb_cycle_before_begin)
    3731          {
    38             std::map<std::string, var_t>::iterator operand=_list_operand->begin();
     32            xml.comment(_("Number of cycle is less than the number minimal"));
     33          }
     34        else
     35          {
     36            bool stop = false;
     37            cycle_t nb_cycle_min;
     38            cycle_t nb_cycle_max = _nb_cycle_before_begin-1;
     39            for (cycle_t period=0; stop==false; period++)
     40              {
     41                std::map<std::string, var_t>::iterator operand=_list_operand->begin();
     42               
     43                if (operand->second.save_counter.size()==0)
     44                  throw(ERRORMORPHEO("Stat::generate_file",_("Queue 'save_counter' is empty.")));
     45               
     46                bool last = operand->second.save_counter.size()==1;
     47               
     48                nb_cycle_min  = nb_cycle_max+1;
     49               
     50                if (last)
     51                  nb_cycle_max = static_cast<cycle_t>(_cycle_sum);
     52                else
     53                  nb_cycle_max += _period;
    3954
    40             if (operand->second.save_counter.size()==0)
    41               throw(ERRORMORPHEO("Stat::generate_file",_("Queue 'save_counter' is empty.")));
    42 
    43             bool last = operand->second.save_counter.size()==1;
    44 
    45             nb_cycle_min  = nb_cycle_max+1;
    46 
    47             if (last)
    48               nb_cycle_max = static_cast<cycle_t>(_cycle_sum);
    49             else
    50               nb_cycle_max += _period;
    51 
    52             xml.balise_open_begin("period");
    53             xml.attribut("number",toString(period));
    54             xml.attribut("nb_cycle_min",toString(nb_cycle_min));
    55             xml.attribut("nb_cycle_max",toString(nb_cycle_max));
    56             xml.balise_open_end();
    57            
    58             for (;
    59                  operand!= _list_operand->end();
    60                  ++operand)
    61               {
    62                 if (operand->second.type == TYPE_COUNTER)
     55                xml.balise_open_begin("period");
     56                xml.attribut("number",toString(period));
     57                xml.attribut("nb_cycle_min",toString(nb_cycle_min));
     58                xml.attribut("nb_cycle_max",toString(nb_cycle_max));
     59                xml.balise_open_end();
     60               
     61                for (;
     62                     operand!= _list_operand->end();
     63                     ++operand)
    6364                  {
    64                     xml.singleton_begin(operand->second.name);
    65                     xml.attribut("value",toString(operand->second.save_counter.front()));
    66                     xml.attribut("unit",operand->second.unit);
    67                     xml.attribut("description",operand->second.description);
    68                     xml.singleton_end();
     65                    if (operand->second.type == TYPE_COUNTER)
     66                      {
     67                        xml.singleton_begin(operand->second.name);
     68                        xml.attribut("value",toString(operand->second.save_counter.front()));
     69                        xml.attribut("unit",operand->second.unit);
     70                        xml.attribut("description",operand->second.description);
     71                        xml.singleton_end();
     72                      }
     73                    operand->second.save_counter.pop_front();
    6974                  }
    70                 operand->second.save_counter.pop_front();
     75               
     76                xml.balise_close();
     77               
     78                stop = last;
    7179              }
    72 
    73             xml.balise_close();
    74            
    75             stop = last;
    7680          }
    7781      }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_text.cpp

    r71 r75  
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    18     _body += indent()+text+"\n";
     18    _body += indent()+text; // +"\n";
    1919    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2020
  • trunk/IPs/systemC/processor/Morpheo/Common/include/Test.h

    r71 r75  
    99#include "Common/include/ToString.h"
    1010
     11namespace morpheo {
     12
    1113//-----[ Routine de test ]---------------------------------------
    1214
     
    1517inline void test_ko_error (void)
    1618{
    17   string msg = "Test ko : error in test \""+morpheo::toString(num_test)+"\"";
     19  std::string msg = "Test ko : error in test \""+morpheo::toString(num_test)+"\"";
    1820  throw (morpheo::ErrorMorpheo (msg));
    1921}
     
    2224inline void test_ko (char * file, uint32_t line, T exp1, T exp2)
    2325{
    24   cerr << "[" << num_test << "] : Test KO"
    25        << "\tline " << line                           << endl
    26        << " * Localisation"                           << endl
    27        << "   - File : " << file                      << endl
    28        << "   - Line : " << line                      << endl
    29        << " * Expression is different"                << endl
    30        << "   - exp1 : "+morpheo::toString(exp1)               << endl
    31        << "   - exp2 : "+morpheo::toString(exp2)               << endl;
     26  std::cerr << "[" << num_test << "] : Test KO"
     27       << "\tline " << line                           << std::endl
     28       << " * Localisation"                           << std::endl
     29       << "   - File : " << file                      << std::endl
     30       << "   - Line : " << line                      << std::endl
     31       << " * Expression is different"                << std::endl
     32       << "   - exp1 : "+morpheo::toString(exp1)               << std::endl
     33       << "   - exp2 : "+morpheo::toString(exp2)               << std::endl;
    3234
    3335  test_ko_error ();
     
    3638inline void test_ko (char * file, uint32_t line)
    3739{
    38   cerr << "[" << num_test << "] : Test KO"
    39        << "\tline " << line                           << endl
    40        << " * Localisation"                           << endl
    41        << "   - File : " << file                      << endl
    42        << "   - Line : " << line                      << endl;
     40  std::cerr << "[" << num_test << "] : Test KO"
     41       << "\tline " << line                           << std::endl
     42       << " * Localisation"                           << std::endl
     43       << "   - File : " << file                      << std::endl
     44       << "   - Line : " << line                      << std::endl;
    4345 
    4446  test_ko_error ();
     
    7981};
    8082
    81 #define TEST(type,exp1,exp2)            do {test<type> (__FILE__,__LINE__,exp1,exp2);} while(0)
    82 #define TEST_STR(type,exp1,exp2,str...) do {fprintf(stdout,str); fprintf(stdout,"\n"); test<type> (__FILE__,__LINE__,exp1,exp2);} while(0)
    83 #define TEST_OK(str...)                 do {fprintf(stdout,str); fprintf(stdout,"\n"); test_ok(__FILE__,__LINE__);} while(0)
    84 #define TEST_KO(str...)                 do {fprintf(stdout,str); fprintf(stdout,"\n"); test_ko(__FILE__,__LINE__);} while(0)
     83#define TEST(type,exp1,exp2)            do {morpheo::test<type> (__FILE__,__LINE__,exp1,exp2);} while(0)
     84#define TEST_STR(type,exp1,exp2,str...) do {fprintf(stdout,str); fprintf(stdout,"\n"); morpheo::test<type> (__FILE__,__LINE__,exp1,exp2);} while(0)
     85#define TEST_OK(str...)                 do {fprintf(stdout,str); fprintf(stdout,"\n"); morpheo::test_ok(__FILE__,__LINE__);} while(0)
     86#define TEST_KO(str...)                 do {fprintf(stdout,str); fprintf(stdout,"\n"); morpheo::test_ko(__FILE__,__LINE__);} while(0)
    8587
    8688
     89};
    8790#endif
  • trunk/IPs/systemC/processor/Morpheo/Common/include/ToBase2.h

    r43 r75  
    1010
    1111#include <sstream>
    12 using namespace std;
    1312
    1413namespace morpheo              {
     
    1615  template<typename T> inline std::string toBase2 (const T& value, const uint32_t & size)
    1716  {
    18     ostringstream res;
     17    std::ostringstream res;
    1918    T mask = 1<<(size-1);
    2019   
  • trunk/IPs/systemC/processor/Morpheo/Documentation/Source/Link/article-07sympa-fr

    r59 r75  
    1 link Documents/article-07sympa/fr
     1link ../Documents/article-07sympa/fr
  • trunk/IPs/systemC/processor/Morpheo/Documentation/Source/Makefile

    r68 r75  
    423423                        $(RM) $(DIR_SCHEMA_EPS) $(DIR_SCHEMA_PNG)  $(DIR_TEX) $(DIR_PACKAGE)/*.aux;             \
    424424                        $(RM) $(DVI_FILES) $(PS_FILES) $(PDF_FILES);                                            \
     425                        $(RM) a0header.ps;                                                                      \
    425426                        $(MAKE) clean_rec DIR_CLEAN=.  ;
    426427
     
    444445                                $(DIR_CLEAN)/*.nav              \
    445446                                $(DIR_CLEAN)/*.snm              \
     447                                $(DIR_CLEAN)/*.maf              \
    446448                                $(DIR_CLEAN)/missfont.log;      \
    447449                        for files in `$(LS) $(DIR_CLEAN)`; do                                                   \
Note: See TracChangeset for help on using the changeset viewer.