Ignore:
Timestamp:
Jan 31, 2008, 6:46:41 PM (16 years ago)
Author:
rosiere
Message:

Update all component (except front_end) to :

  • new statistics model
  • no namespace std
Location:
trunk/IPs/systemC/processor/Morpheo/Behavioural/src
Files:
1 added
5 deleted
75 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component.cpp

    r57 r75  
    1515  {
    1616    log_printf(FUNC,Behavioural,"Component::Component","Begin");
    17     _list_component = new list<Tcomponent_t *>;
     17    _list_component = new std::list<Tcomponent_t *>;
    1818    log_printf(FUNC,Behavioural,"Component::Component","End");
    1919  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_find_entity.cpp

    r57 r75  
    1111namespace behavioural          {
    1212
    13   Entity * Component::find_entity (string name)
     13  Entity * Component::find_entity (std::string name)
    1414  {
    1515    log_printf(FUNC,Behavioural,"Component::find_entity", "Begin");
     
    2222      if (_list_component->empty()== false)
    2323        {
    24           list<Tcomponent_t *>::iterator i = _list_component->begin();
     24          std::list<Tcomponent_t *>::iterator i = _list_component->begin();
    2525         
    2626          while (i != _list_component->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_get_component.cpp

    r57 r75  
    1414#define FUNCTION "Component::get_component"
    1515
    16   string Component::get_component (void)
     16  std::string Component::get_component (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1919
    2020    uint32_t               depth          = 0;
    21     string                 separator      = "\n";
     21    std::string                 separator      = "\n";
    2222    bool                   last_separator = false;
    2323
    24     list<Tcomponent_t *>::iterator  i  = _list_component->begin();
     24    std::list<Tcomponent_t *>::iterator  i  = _list_component->begin();
    2525    bool                   empty = _list_component->empty();
    2626
    27     string                 tab   = string(depth,'\t');
    28     ostringstream          text;
     27    std::string                 tab   = std::string(depth,'\t');
     28    std::ostringstream          text;
    2929
    3030    if (not empty)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_get_entity.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Component::get_entity"
    15   string Component::get_entity (void)
     15  std::string Component::get_entity (void)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1818
    19     ostringstream text;
     19    std::ostringstream text;
    2020    text << *(_entity);
    2121
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_interface_map.cpp

    r44 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Component::interface_map"
    16   void Component::interface_map (string component_src ,
    17                                  string port_src      ,
    18                                  string component_dest,
    19                                  string port_dest     )
     16  void Component::interface_map (std::string component_src ,
     17                                 std::string port_src      ,
     18                                 std::string component_dest,
     19                                 std::string port_dest     )
    2020  {
    2121    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2424    Entity * entity_dest = find_entity(component_dest);
    2525
    26     string name_entity = _entity->get_name();
     26    std::string name_entity = _entity->get_name();
    2727
    2828    if (entity_dest == NULL)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_port_map.cpp

    r65 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Component::port_map"
    15   void Component::port_map (string component_src ,
    16                             string port_src      ,
    17                             string component_dest,
    18                             string port_dest     )
     15  void Component::port_map (std::string component_src ,
     16                            std::string port_src      ,
     17                            std::string component_dest,
     18                            std::string port_dest     )
    1919  {
    2020    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2121
    22     string name_entity = _entity->get_name();
     22    std::string name_entity = _entity->get_name();
    2323
    2424    // First entity
     
    111111
    112112
    113   void Component::port_map (string component_src ,
    114                             string port_src      )
     113  void Component::port_map (std::string component_src ,
     114                            std::string port_src      )
    115115  {
    116116    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_print.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Component::operator<<"
    16   ostream& operator<< (ostream& output_stream,
    17                        morpheo::behavioural::Component & x)
     16  std::ostream& operator<< (std::ostream& output_stream,
     17                            morpheo::behavioural::Component & x)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2020
    21     output_stream << x.get_entity    () << endl;
    22     output_stream << x.get_component () << endl;
     21    output_stream << x.get_entity    () << std::endl;
     22    output_stream << x.get_component () << std::endl;
    2323
    2424    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_set_entity.cpp

    r57 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Component::set_entity"
    15   Entity * Component::set_entity (string        name   
    16                                   ,string        type   
     15  Entity * Component::set_entity (std::string        name   
     16                                  ,std::string        type   
    1717#ifdef POSITION
    1818                                  ,schema_t      schema
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_signal_internal.cpp

    r62 r75  
    1919
    2020    // create name of internal's signal
    21     string str_entity = entity_productor->get_name();
    22     string str_signal = signal_productor->get_name();
     21    std::string str_entity = entity_productor->get_name();
     22    std::string str_signal = signal_productor->get_name();
    2323   
    2424    UpperCase (str_entity);
    2525    UpperCase (str_signal);
    2626   
    27     string name_internal = "internal_"+str_entity+"_"+str_signal;
     27    std::string name_internal = "internal_"+str_entity+"_"+str_signal;
    2828   
    2929    // test if internal's signal exist ... else, create
     
    4040          throw (ErrorMorpheo ("<Component::port_map> Component \""+_entity->get_name()+"\", doesn't have an interface \"\"."));
    4141       
    42         string signame = entity_productor->get_name()+"_"+signal_productor->get_name();
     42        std::string signame = entity_productor->get_name()+"_"+signal_productor->get_name();
    4343       
    4444        // Signal's creation
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_test_map.cpp

    r62 r75  
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1818
    19     string name = _entity->get_name();
     19    std::string name = _entity->get_name();
    2020    bool test_ok = true;
    2121    if (_list_component->empty () == true)
     
    3131        log_printf(INFO,Behavioural,FUNCTION, "Test all internal component");
    3232       
    33         for (list<Tcomponent_t *>::iterator i= _list_component->begin();
     33        for (std::list<Tcomponent_t *>::iterator i= _list_component->begin();
    3434             i != _list_component->end();
    3535             ++i)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_toXML.cpp

    r57 r75  
    2727    if (_list_component->empty()== false)
    2828      {
    29         list<Tcomponent_t *>::iterator i = _list_component->begin();
     29        std::list<Tcomponent_t *>::iterator i = _list_component->begin();
    3030
    3131        while (i != _list_component->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_vhdl_instance.cpp

    r65 r75  
    1919
    2020    uint32_t             cpt = 0;
    21     map<Signal *,string> tab;
     21    std::map<Signal *,std::string> tab;
    2222
    2323    // buffer all output
     
    2525    {
    2626      // for each interface
    27       list<Interface_fifo *>         * list_interface = (_entity)->get_interfaces_list()->get_interface_list();
    28       list<Interface_fifo *>::iterator j              = list_interface->begin();
     27      std::list<Interface_fifo *>         * list_interface = (_entity)->get_interfaces_list()->get_interface_list();
     28      std::list<Interface_fifo *>::iterator j              = list_interface->begin();
    2929      if (not list_interface->empty())
    3030        {
     
    3636            {
    3737              // for each signal
    38               list<Signal *>         * list_signal = (*j)->get_signal_list();
    39               list<Signal *>::iterator k           = list_signal->begin();
     38              std::list<Signal *>         * list_signal = (*j)->get_signal_list();
     39              std::list<Signal *>::iterator k           = list_signal->begin();
    4040              if (not list_signal->empty())
    4141                {
     
    4949                        {
    5050                          // Create name
    51                           string signal_name = "signal_"+toString(cpt++);
     51                          std::string signal_name = "signal_"+toString(cpt++);
    5252                         
    5353                          tab [signal                           ] = signal_name;
     
    7676
    7777    // for each entity
    78     list<Tcomponent_t *>         * list_component = _list_component;
    79     list<Tcomponent_t *>::iterator i              = list_component->begin();
     78    std::list<Tcomponent_t *>         * list_component = _list_component;
     79    std::list<Tcomponent_t *>::iterator i              = list_component->begin();
    8080    if (not list_component->empty())
    8181      {
     
    9090            if (instance & INSTANCE_COMPONENT)
    9191            {
    92               list<string> list_port_map;
     92              std::list<std::string> list_port_map;
    9393             
    9494              // for each interface
    95               list<Interface_fifo *>         * list_interface = entity->get_interfaces_list()->get_interface_list();
    96               list<Interface_fifo *>::iterator j              = list_interface->begin();
     95              std::list<Interface_fifo *>         * list_interface = entity->get_interfaces_list()->get_interface_list();
     96              std::list<Interface_fifo *>::iterator j              = list_interface->begin();
    9797              if (not list_interface->empty())
    9898                {
     
    100100                    {
    101101                      // for each signal
    102                       list<Signal *>         * list_signal = (*j)->get_signal_list();
    103                       list<Signal *>::iterator k           = list_signal->begin();
     102                      std::list<Signal *>         * list_signal = (*j)->get_signal_list();
     103                      std::list<Signal *>::iterator k           = list_signal->begin();
    104104                      if (not list_signal->empty())
    105105                        {
     
    112112                                {
    113113                                  Signal * signal_dest = signal_src->get_connect_to_signal();
    114                                   string   name_src    = signal_src->get_name();
    115                                   string   name_dest;
     114                                  std::string   name_src    = signal_src->get_name();
     115                                  std::string   name_dest;
    116116                                 
    117117//                              // Test if destination signal is a interface port ?
     
    119119//                                {
    120120                                  // find if signal is already link
    121                                   map<Signal *,string>::iterator it = tab.find(signal_dest);
     121                                  std::map<Signal *,std::string>::iterator it = tab.find(signal_dest);
    122122                                  if (tab.find(signal_dest) == tab.end())
    123123                                    {
     
    144144//                                    {
    145145//                                      // Take buffer's signal
    146 //                                      map<Signal *,string>::iterator it = tab.find(signal_dest);
     146//                                      map<Signal *,std::string>::iterator it = tab.find(signal_dest);
    147147//                                      name_dest        = (*it).second;
    148148
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Configuration_Parameters.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Configuration_Parameters::Configuration_Parameters"
    16   Configuration_Parameters::Configuration_Parameters  (string   name   ,
     16  Configuration_Parameters::Configuration_Parameters  (std::string   name   ,
    1717                                                       uint32_t value  ,
    1818                                                       uint32_t min    ,
    1919                                                       uint32_t max    ,
    20                                                        string   step   ,
     20                                                       std::string   step   ,
    2121                                                       uint32_t value_default,
    2222                                                       uint32_t level  ,
    23                                                        string   comment):
     23                                                       std::string   comment):
    2424    _name    (name         ),
    2525    _value   (value        ),
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Configuration_Parameters_print.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Configuration_Parameters::print"
    17   string Configuration_Parameters::print (uint32_t depth)
     17  std::string Configuration_Parameters::print (uint32_t depth)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    3838#undef  FUNCTION
    3939#define FUNCTION "Configuration_Parameters::operator<<"
    40   ostream& operator<< (ostream& output_stream,
    41                        morpheo::behavioural::Configuration_Parameters & x)
     40  std::ostream& operator<< (std::ostream& output_stream,
     41                            morpheo::behavioural::Configuration_Parameters & x)
    4242  {
    4343    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity.cpp

    r57 r75  
    1111namespace behavioural          {
    1212
    13   Entity::Entity  ( string       name   
    14                    ,string       type 
     13  Entity::Entity  ( std::string       name   
     14                   ,std::string       type 
    1515#ifdef POSITION
    1616                   ,schema_t     schema
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_find_interface.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Entity::find_interface"
    15   Interface * Entity::find_interface (string name)
     15  Interface * Entity::find_interface (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_find_signal.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Entity::find_signal"
    15   Signal * Entity::find_signal (string name)
     15  Signal * Entity::find_signal (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_comment.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Entity::get_comment"
    17   string Entity::get_comment (void)
     17  std::string Entity::get_comment (void)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    20     string _return = _comment;
     20    std::string _return = _comment;
    2121    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2222    return _return;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_interfaces.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Entity::get_interfaces"
    16   string Entity::get_interfaces (void)
     16  std::string Entity::get_interfaces (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     ostringstream text;
     19    std::ostringstream text;
    2020    text << *(_interfaces);
    2121    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_name.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Entity::get_name"
    16   string Entity::get_name (void)
     16  std::string Entity::get_name (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     string _return = _name;
     19    std::string _return = _name;
    2020    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2121    return _return;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_type.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Entity::get_type"
    16   string Entity::get_type (void)
     16  std::string Entity::get_type (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     string _return = _type;
     19    std::string _return = _type;
    2020    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2121
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_print.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Entity::operator<<"
    16   ostream& operator<< (ostream& output_stream,
    17                        morpheo::behavioural::Entity & x)
     16  std::ostream& operator<< (std::ostream& output_stream,
     17                            morpheo::behavioural::Entity & x)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2020 
    2121#ifdef POSITION
    22     output_stream << x._name + "\t"+x._type+"\t"+toString(x._schema) << endl;
    23     output_stream << x.get_comment()    << endl;
     22    output_stream << x._name + "\t"+x._type+"\t"+toString(x._schema) << std::endl;
     23    output_stream << x.get_comment()    << std::endl;
    2424#else
    25     output_stream << x._name + "\t"+x._type<< endl;
     25    output_stream << x._name + "\t"+x._type<< std::endl;
    2626#endif
    27     output_stream << x.get_interfaces() << endl;
     27    output_stream << x.get_interfaces() << std::endl;
    2828
    2929    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_set_comment.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Entity::set_comment"
    17   void Entity::set_comment (string comment)
     17  void Entity::set_comment (std::string comment)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface.cpp

    r57 r75  
    1111namespace behavioural          {
    1212
    13   Interface::Interface  (string                name         
     13  Interface::Interface  (std::string                name         
    1414#ifdef POSITION
    1515                         ,direction_t           direction   
     
    2727    log_printf(FUNC,Behavioural,"Interface","Begin");
    2828
    29     _list_signal   = new (list<Signal*>);
     29    _list_signal   = new (std::list<Signal*>);
    3030
    3131#ifdef POSITION
     
    7272    if (_list_signal->empty()== false)
    7373      {
    74         list<Signal*>::iterator i = _list_signal->begin();
     74        std::list<Signal*>::iterator i = _list_signal->begin();
    7575
    7676        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo.cpp

    r57 r75  
    1111namespace behavioural          {
    1212
    13   Interface_fifo::Interface_fifo  (string         name       
     13  Interface_fifo::Interface_fifo  (std::string         name       
    1414#ifdef POSITION
    1515                                   ,direction_t    direction   
     
    2828    log_printf(FUNC,Behavioural,"Interface_fifo","Begin");
    2929
    30     _list_signal_val = new (list<Signal*>);
    31     _list_signal_ack = new (list<Signal*>);
     30    _list_signal_val = new (std::list<Signal*>);
     31    _list_signal_ack = new (std::list<Signal*>);
    3232
    3333#ifdef VHDL_TESTBENCH
    3434    _test_exhaustive = true;
    35     _list_cycle      = new list<uint32_t>;
     35    _list_cycle      = new std::list<uint32_t>;
    3636#endif
    3737
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_set_signal.cpp

    r41 r75  
    1111namespace behavioural          {
    1212
    13   Signal * Interface_fifo::set_signal_valack (string          name     ,
     13  Signal * Interface_fifo::set_signal_valack (std::string          name     ,
    1414                                              direction_t     direction,
    1515                                              val_ack_t       val_ack  ,
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_testbench_test.cpp

    r62 r75  
    1212namespace behavioural          {
    1313
    14   string Interface_fifo::testbench_test (Vhdl * & vhdl,
    15                                          string   counter_name,
    16                                          string   reset_name  )
     14  std::string Interface_fifo::testbench_test (Vhdl * & vhdl,
     15                                         std::string   counter_name,
     16                                         std::string   reset_name  )
    1717  {
    1818    log_printf(FUNC,Behavioural,"testbench_test","Begin");
    19     string test_name;
     19    std::string test_name;
    2020   
    2121    if (_test_exhaustive == true)
     
    2828
    2929               test_name        = testbench_test_name        (vhdl);
    30         string test_name_tmp    = test_name+"_tmp";
    31         string test_name_ok     = testbench_test_ok          (vhdl);
    32         string test_transaction = testbench_test_transaction (vhdl);
     30        std::string test_name_tmp    = test_name+"_tmp";
     31        std::string test_name_ok     = testbench_test_ok          (vhdl);
     32        std::string test_transaction = testbench_test_transaction (vhdl);
    3333
    3434        vhdl->set_signal(test_name_tmp,1);
     
    3939            vhdl->set_body ("\t"+test_name_tmp+" <=");
    4040       
    41             list<uint32_t>::iterator i = _list_cycle->begin();
     41            std::list<uint32_t>::iterator i = _list_cycle->begin();
    4242           
    4343            while (i != _list_cycle->end())
     
    6262
    6363            uint32_t                 j = 0;
    64             list<uint32_t>::iterator i = _list_cycle->begin();
     64            std::list<uint32_t>::iterator i = _list_cycle->begin();
    6565           
    6666            while (i != _list_cycle->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_testbench_test_transaction.cpp

    r42 r75  
    1212namespace behavioural          {
    1313
    14   string Interface_fifo::testbench_test_transaction (Vhdl * & vhdl)
     14  std::string Interface_fifo::testbench_test_transaction (Vhdl * & vhdl)
    1515  {
    1616    log_printf(FUNC,Behavioural,"testbench_test_transaction","Begin");
    1717   
    18     string name = testbench_test_transaction_name(vhdl);
     18    std::string name = testbench_test_transaction_name(vhdl);
    1919
    2020    vhdl->set_body(name+" <= '1'");
     
    2323    if (_list_signal_val->empty()== false)
    2424      {
    25         list<Signal*>::iterator i = _list_signal_val->begin();
     25        std::list<Signal*>::iterator i = _list_signal_val->begin();
    2626
    2727        while (i != _list_signal_val->end())
     
    3535    if (_list_signal_ack->empty()== false)
    3636      {
    37         list<Signal*>::iterator i = _list_signal_ack->begin();
     37        std::list<Signal*>::iterator i = _list_signal_ack->begin();
    3838
    3939        while (i != _list_signal_ack->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_testbench_transaction.cpp

    r42 r75  
    2121    if (_list_signal_val->empty()== false)
    2222      {
    23         list<Signal*>::iterator i = _list_signal_val->begin();
     23        std::list<Signal*>::iterator i = _list_signal_val->begin();
    2424
    2525        while ((i != _list_signal_val->end()) and (res == true))
     
    3333    if (_list_signal_ack->empty()== false)
    3434      {
    35         list<Signal*>::iterator i = _list_signal_ack->begin();
     35        std::list<Signal*>::iterator i = _list_signal_ack->begin();
    3636
    3737        while ((i != _list_signal_ack->end()) and (res == true))
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_find_signal.cpp

    r42 r75  
    1212namespace behavioural          {
    1313
    14   Signal * Interface::find_signal (string name)
     14  Signal * Interface::find_signal (std::string name)
    1515  {
    1616    log_printf(FUNC,Behavioural,"find_signal","Begin");
    1717
    18     list<Signal*>::iterator i   = _list_signal->begin();
     18    std::list<Signal*>::iterator i   = _list_signal->begin();
    1919    Signal *                sig = NULL;
    2020    if (_list_signal->empty() == false)
     
    4141
    4242    bool find = false;
    43     list<Signal*>::iterator i = _list_signal->begin();
     43    std::list<Signal*>::iterator i = _list_signal->begin();
    4444    if (_list_signal->empty() == false)
    4545      {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_clock.cpp

    r43 r75  
    2323    if (not _list_signal->empty())
    2424      {
    25         list<Signal*>::iterator i     = _list_signal->begin();
     25        std::list<Signal*>::iterator i     = _list_signal->begin();
    2626       
    2727        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_comment.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interface::get_comment (void)
     15  std::string Interface::get_comment (void)
    1616  {
    1717    log_printf(FUNC,Behavioural,"get_comment","Begin");
    18     string res = _comment;
     18    std::string res = _comment;
    1919    log_printf(FUNC,Behavioural,"get_comment","End");
    2020    return res;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_name.cpp

    r42 r75  
    1212namespace behavioural          {
    1313
    14   string Interface::get_name (void)
     14  std::string Interface::get_name (void)
    1515  {
    1616    log_printf(FUNC,Behavioural,"get_name","Begin");
    17     string res = _name;
     17    std::string res = _name;
    1818    log_printf(FUNC,Behavioural,"get_name","End");
    1919    return res;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_reset.cpp

    r43 r75  
    2323    if (not _list_signal->empty())
    2424      {
    25         list<Signal*>::iterator i = _list_signal->begin();
     25        std::list<Signal*>::iterator i = _list_signal->begin();
    2626       
    2727        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_signal.cpp

    r43 r75  
    1212namespace behavioural          {
    1313
    14   string Interface::get_signal (void)
     14  std::string Interface::get_signal (void)
    1515  {
    1616    log_printf(FUNC,Behavioural,"get_signal","Begin");
    1717
    1818    uint32_t               depth          = 0;
    19     string                 separator      = ",\n";
     19    std::string                 separator      = ",\n";
    2020    bool                   last_separator = false;
    2121
    2222
    23     list<Signal*>::iterator i    = _list_signal->begin();
    24     bool                   empty = _list_signal->empty();
     23    std::list<Signal*>::iterator i    = _list_signal->begin();
     24    bool                         empty = _list_signal->empty();
    2525
    26     string                 tab   = string(depth,'\t');
    27     ostringstream          text;
     26    std::string                 tab   = std::string(depth,'\t');
     27    std::ostringstream          text;
    2828
    2929    if (not empty)
     
    5656#undef  FUNCTION
    5757#define FUNCTION "Interface::get_signal"
    58   void Interface::get_signal (list<string> * & list_signal)
     58  void Interface::get_signal (std::list<std::string> * & list_signal)
    5959  {
    6060    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    6262    if (not _list_signal->empty())
    6363      {
    64         list<Signal*>::iterator i = _list_signal->begin();
     64        std::list<Signal*>::iterator i = _list_signal->begin();
    6565       
    6666        while (i != _list_signal->end())
     
    7878#undef  FUNCTION
    7979#define FUNCTION "Interface::get_signal_list"
    80   list<Signal *> * Interface::get_signal_list (void)
     80  std::list<Signal *> * Interface::get_signal_list (void)
    8181    {
    8282      log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    83       list<Signal *> * _return = _list_signal;
     83      std::list<Signal *> * _return = _list_signal;
    8484      log_printf(FUNC,Behavioural,FUNCTION,"End");
    8585
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_print.cpp

    r42 r75  
    1212namespace behavioural          {
    1313
    14   ostream& operator<< (ostream& output_stream,
    15                        morpheo::behavioural::Interface & x)
     14  std::ostream& operator<< (std::ostream& output_stream,
     15                            morpheo::behavioural::Interface & x)
    1616  {
    1717    log_printf(FUNC,Behavioural,"operator<<","Begin");
    1818#ifdef POSITION
    19     output_stream << x._name + "\t"+toString(x._localisation)+"\t"+toString(x._direction) << endl;
    20     output_stream << x.get_comment() << endl;
     19    output_stream << x._name + "\t"+toString(x._localisation)+"\t"+toString(x._direction) << std::endl;
     20    output_stream << x.get_comment() << std::endl;
    2121#else
    22     output_stream << x._name << endl;
     22    output_stream << x._name << std::endl;
    2323#endif
    24     output_stream << x.get_signal () << endl;
     24    output_stream << x.get_signal () << std::endl;
    2525
    2626// #ifdef VHDL_TESTBENCH
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_set_comment.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   void Interface::set_comment (string comment)
     15  void Interface::set_comment (std::string comment)
    1616  {
    1717    log_printf(FUNC,Behavioural,"set_comment","Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_set_port.cpp

    r41 r75  
    1818    if (not _list_signal->empty())
    1919      {
    20         list<Signal*>::iterator i     = _list_signal->begin();
     20        std::list<Signal*>::iterator i     = _list_signal->begin();
    2121       
    2222        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_set_signal.cpp

    r43 r75  
    1111namespace behavioural          {
    1212
    13   Signal * Interface::set_signal (string          name     ,
     13  Signal * Interface::set_signal (std::string          name     ,
    1414                                  direction_t     direction,
    1515                                  uint32_t        size     ,
     
    1818    log_printf(FUNC,Behavioural,"set_signal","Begin");
    1919
    20     string signame = signal_name(_name, name, direction);
     20    std::string signame = signal_name(_name, name, direction);
    2121
    2222    Signal * sig = new Signal       (signame, direction, size, presence_port);
     
    3939    if (not _list_signal->empty())
    4040      {
    41         list<Signal*>::iterator i = _list_signal->begin();
     41        std::list<Signal*>::iterator i = _list_signal->begin();
    4242       
    4343        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_signal_name.cpp

    r62 r75  
    1111namespace behavioural          {
    1212
    13   string Interface::signal_name          (string      name_interface,
    14                                           string      name_signal   ,
    15                                           direction_t direction     )
     13  std::string Interface::signal_name          (std::string      name_interface,
     14                                               std::string      name_signal   ,
     15                                               direction_t direction     )
    1616  {
    1717    log_printf(FUNC,Behavioural,"signal_name","Begin");
    1818
    19     string str_direction = toString(direction);
    20     string str_interface = name_interface;
    21     string str_signal    = name_signal;
     19    std::string str_direction = toString(direction);
     20    std::string str_interface = name_interface;
     21    std::string str_signal    = name_signal;
    2222
    2323    LowerCase(str_direction);   
     
    2525    UpperCase(str_signal   );
    2626   
    27     string signame = str_direction;
     27    std::string signame = str_direction;
    2828    if (str_interface != "")
    2929      signame += "_"+str_interface;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_test_map.cpp

    r62 r75  
    2222    log_printf(INFO,Behavioural,FUNCTION, "   * Interface \"%s\"",_name.c_str());
    2323   
    24     for (list<Signal*>::iterator i  = _list_signal->begin();
     24    for (std::list<Signal*>::iterator i  = _list_signal->begin();
    2525         i != _list_signal->end();
    2626         ++i)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench.cpp

    r41 r75  
    1919    if (_list_signal->empty()== false)
    2020      {
    21         list<Signal*>::iterator i     = _list_signal->begin();
     21        std::list<Signal*>::iterator i     = _list_signal->begin();
    2222
    2323        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench_body.cpp

    r42 r75  
    1414
    1515  void Interface::testbench_body (Vhdl * & vhdl,
    16                                   string   counter_name,
    17                                   string   reset_name  )
     16                                  std::string   counter_name,
     17                                  std::string   reset_name  )
    1818  {
    1919    log_printf(FUNC,Behavioural,"testbench_body","Begin");
     
    2121    if (_list_signal->empty()== false)
    2222      {
    23         list<Signal*>::iterator i = _list_signal->begin();
     23        std::list<Signal*>::iterator i = _list_signal->begin();
    2424
    2525        vhdl->set_body("------------------------------------------------------");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench_test.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interface::testbench_test (Vhdl * & vhdl,
    16                                     string   counter_name,
    17                                     string   reset_name  )
     15  std::string Interface::testbench_test (Vhdl * & vhdl,
     16                                    std::string   counter_name,
     17                                    std::string   reset_name  )
    1818  {
    1919    log_printf(FUNC,Behavioural,"testbench_test","Begin");
     
    2323    vhdl->set_body("");
    2424
    25     string test_name    = testbench_test_name(vhdl);
    26     string test_name_ok = testbench_test_ok     (vhdl);
     25    std::string test_name    = testbench_test_name(vhdl);
     26    std::string test_name_ok = testbench_test_ok     (vhdl);
    2727
    2828    vhdl->set_body(test_name + " <= '1' when ("+reset_name+" = '0') else "+test_name_ok+";");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench_test_name.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interface::testbench_test_name (Vhdl * & vhdl)
     15  std::string Interface::testbench_test_name (Vhdl * & vhdl)
    1616  {
    1717    log_printf(FUNC,Behavioural,"testbench_test_name","Begin");
    1818
    19     string name;
     19    std::string name;
    2020
    2121    name = "interface_";
     
    3131  };
    3232
    33   string Interface::testbench_test_ok_name (Vhdl * & vhdl)
     33  std::string Interface::testbench_test_ok_name (Vhdl * & vhdl)
    3434  {
    3535    log_printf(FUNC,Behavioural,"testbench_test_ok_name","Begin");
    3636
    37     string name;
     37    std::string name;
    3838
    3939    name = "interface_";
     
    4949  };
    5050
    51   string Interface::testbench_test_transaction_name (Vhdl * & vhdl)
     51  std::string Interface::testbench_test_transaction_name (Vhdl * & vhdl)
    5252  {
    5353    log_printf(FUNC,Behavioural,"testbench_test_transaction_name","Begin");
    5454
    55     string name;
     55    std::string name;
    5656
    5757    name = "interface_";
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_testbench_test_ok.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interface::testbench_test_ok (Vhdl * & vhdl)
     15  std::string Interface::testbench_test_ok (Vhdl * & vhdl)
    1616  {
    1717    log_printf(FUNC,Behavioural,"testbench_test_ok","Begin");
    1818
    19     string test_name = testbench_test_ok_name(vhdl);
     19    std::string test_name = testbench_test_ok_name(vhdl);
    2020
    2121    vhdl->set_body(test_name + " <= '1' when true");
     
    2424    if (_list_signal->empty()== false)
    2525      {
    26         list<Signal*>::iterator i     = _list_signal->begin();
     26        std::list<Signal*>::iterator i     = _list_signal->begin();
    2727
    2828        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_toXML.cpp

    r42 r75  
    2828    if (_list_signal->empty()== false)
    2929      {
    30         list<Signal*>::iterator i     = _list_signal->begin();
     30        std::list<Signal*>::iterator i     = _list_signal->begin();
    3131
    3232        while (i != _list_signal->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces.cpp

    r58 r75  
    1111namespace behavioural          {
    1212
    13   Interfaces::Interfaces  (string   name,
     13  Interfaces::Interfaces  (std::string   name,
    1414                           Tusage_t usage):
    1515    _name  (name),
     
    1717  {
    1818    log_printf(FUNC,Behavioural,"Interfaces","Begin");
    19     _list_interface = new list<Interface_fifo*>;
     19    _list_interface = new std::list<Interface_fifo*>;
    2020    log_printf(FUNC,Behavioural,"Interfaces","End");
    2121  };
     
    4141    if (_list_interface->empty()== false)
    4242      {
    43         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     43        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    4444
    4545        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_find_interface.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Interfaces::find_interface"
    15   Interface_fifo * Interfaces::find_interface (string name)
     15  Interface_fifo * Interfaces::find_interface (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2020    if (_list_interface->empty()== false)
    2121      {
    22         list<Interface_fifo*>::iterator i = _list_interface->begin();
     22        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2323
    2424        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_find_signal.cpp

    r43 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Interfaces::find_signal"
    15   Signal * Interfaces::find_signal (string name)
     15  Signal * Interfaces::find_signal (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2020    if (_list_interface->empty()== false)
    2121      {
    22         list<Interface_fifo*>::iterator i = _list_interface->begin();
     22        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2323
    2424        while (i != _list_interface->end())
     
    5151    if (_list_interface->empty()== false)
    5252      {
    53         list<Interface_fifo*>::iterator i = _list_interface->begin();
     53        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    5454
    5555        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_clock.cpp

    r43 r75  
    2323    if (not _list_interface->empty())
    2424      {
    25         list<Interface_fifo*>::iterator i = _list_interface->begin();
     25        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2626       
    2727        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_cycle.cpp

    r43 r75  
    2222    if (not _list_interface->empty())
    2323      {
    24         list<Interface_fifo*>::iterator i = _list_interface->begin();
     24        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2525       
    2626        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_interface.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Interfaces::get_interface"
    16   string Interfaces::get_interface (void)
     16  std::string Interfaces::get_interface (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1919
    2020    uint32_t               depth          = 0;
    21     string                 separator      = "\n";
     21    std::string                 separator      = "\n";
    2222    bool                   last_separator = false;
    2323
    24     list<Interface_fifo*>::iterator i  = _list_interface->begin();
     24    std::list<Interface_fifo*>::iterator i  = _list_interface->begin();
    2525    bool                   empty = _list_interface->empty();
    2626
    27     string                 tab   = string(depth,'\t');
    28     ostringstream          text;
     27    std::string                 tab   = std::string(depth,'\t');
     28    std::ostringstream          text;
    2929
    3030    if (not empty)
     
    5555#undef  FUNCTION
    5656#define FUNCTION "Interfaces::get_interface_list"
    57   list<Interface_fifo*>* Interfaces::get_interface_list (void)
     57  std::list<Interface_fifo*>* Interfaces::get_interface_list (void)
    5858  {
    5959    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    60     list<Interface_fifo*>* _return =  _list_interface;
     60    std::list<Interface_fifo*>* _return =  _list_interface;
    6161    log_printf(FUNC,Behavioural,FUNCTION,"End");
    6262
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_reset.cpp

    r43 r75  
    2323    if (not _list_interface->empty())
    2424      {
    25         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     25        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    2626       
    2727        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_signal.cpp

    r43 r75  
    1717#undef  FUNCTION
    1818#define FUNCTION "Interfaces::get_signal"
    19   void Interfaces::get_signal (list<string> * & list_signal)
     19  void Interfaces::get_signal (std::list<std::string> * & list_signal)
    2020  {
    2121    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2323    if (not _list_interface->empty())
    2424      {
    25         list<Interface_fifo*>::iterator i = _list_interface->begin();
     25        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2626       
    2727        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_print.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Interfaces::operator<<"
    17   ostream& operator<< (ostream& output_stream ,
    18                        morpheo::behavioural::Interfaces & x)
     17  std::ostream& operator<< (std::ostream& output_stream ,
     18                            morpheo::behavioural::Interfaces & x)
    1919  {
    2020    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    21     output_stream << x.get_interface () << endl;
     21    output_stream << x.get_interface () << std::endl;
    2222    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2323    return output_stream;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_interface.cpp

    r57 r75  
    1313#undef  FUNCTION
    1414#define FUNCTION "Interfaces::set_interface"
    15   Interface_fifo * Interfaces::set_interface (string         name       
     15  Interface_fifo * Interfaces::set_interface (std::string         name       
    1616#ifdef POSITION
    1717                                              ,direction_t    direction   
     
    3838#undef  FUNCTION
    3939#define FUNCTION "Interfaces::set_interface"
    40   Interface_fifo * Interfaces::set_interface (string         name        ,
     40  Interface_fifo * Interfaces::set_interface (std::string         name        ,
    4141                                              direction_t    direction   ,
    4242                                              localisation_t localisation,
    43                                               string         comment     )
     43                                              std::string         comment     )
    4444  {
    4545    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_port.cpp

    r43 r75  
    2020    if (not _list_interface->empty())
    2121      {
    22         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     22        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    2323       
    2424        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_signal.cpp

    r43 r75  
    2121    if (not _list_interface->empty())
    2222      {
    23         list<Interface_fifo*>::iterator i = _list_interface->begin();
     23        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2424       
    2525        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_test_map.cpp

    r62 r75  
    2222    log_printf(INFO,Behavioural,FUNCTION, "   * Interfaces \"%s\"",_name.c_str());
    2323   
    24     for (list<Interface_fifo*>::iterator i  = _list_interface->begin();
     24    for (std::list<Interface_fifo*>::iterator i  = _list_interface->begin();
    2525         i != _list_interface->end();
    2626         ++i)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_testbench.cpp

    r41 r75  
    1919    if (_list_interface->empty()== false)
    2020      {
    21         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     21        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    2222
    2323        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_testbench_body.cpp

    r42 r75  
    1313namespace behavioural          {
    1414
    15   string Interfaces::testbench_body (Vhdl * & vhdl,
    16                                      string   counter_name,
    17                                      string   reset_name  )
     15  std::string Interfaces::testbench_body (Vhdl * & vhdl,
     16                                     std::string   counter_name,
     17                                     std::string   reset_name  )
    1818  {
    1919    log_printf(FUNC,Behavioural,"testbench_body","Begin");
    2020
    21     string test      = "'1'";
    22     string test_name = "test";
     21    std::string test      = "'1'";
     22    std::string test_name = "test";
    2323    vhdl->set_signal(test_name,1);
    2424
    2525    if (_list_interface->empty()== false)
    2626      {
    27         list<Interface_fifo*>::iterator i = _list_interface->begin();
     27        std::list<Interface_fifo*>::iterator i = _list_interface->begin();
    2828
    2929        while (i != _list_interface->end())
    3030          {
    3131            (*i)->testbench_body(vhdl, counter_name, reset_name);
    32             string str = (*i)->testbench_test(vhdl, counter_name, reset_name);
     32            std::string str = (*i)->testbench_test(vhdl, counter_name, reset_name);
    3333
    3434            test += " and "+str;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_testbench_generate_file.cpp

    r66 r75  
    1818
    1919    Vhdl   * vhdl        = new Vhdl(_name+"_Testbench");
    20     string   counter     = "counter";
     20    std::string   counter     = "counter";
    2121    Signal * clock       = this->get_clock();
    2222    Signal * reset       = this->get_reset();
    23     string   clock_name  = clock->get_name();
    24     string   reset_name  = reset->get_name();
     23    std::string   clock_name  = clock->get_name();
     24    std::string   reset_name  = reset->get_name();
    2525    uint32_t cycle       = this->get_cycle();
    2626
     
    3636    vhdl->set_body("");
    3737
    38     list<string> * list_signal = new list<string>;
     38    std::list<std::string> * list_signal = new std::list<std::string>;
    3939    this->get_signal (list_signal);
    4040
     
    4343    vhdl->set_body("port map (");
    4444
    45     list<string>::iterator i   = list_signal->begin();
     45    std::list<std::string>::iterator i   = list_signal->begin();
    4646    if (i != list_signal->end())
    4747      {
     
    5858    delete list_signal;
    5959
    60     string test_name = this->testbench_body(vhdl,counter, reset_name);
     60    std::string test_name = this->testbench_body(vhdl,counter, reset_name);
    6161
    6262    vhdl->set_body("");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_toXML.cpp

    r43 r75  
    2222    if (_list_interface->empty()== false)
    2323      {
    24         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     24        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    2525
    2626        while (i != _list_interface->end())
     
    4545    if (_list_interface->empty()== false)
    4646      {
    47         list<Interface_fifo*>::iterator i     = _list_interface->begin();
     47        std::list<Interface_fifo*>::iterator i     = _list_interface->begin();
    4848
    4949        while (i != _list_interface->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal.cpp

    r65 r75  
    1111namespace behavioural          {
    1212
    13   Signal::Signal  (string          name         ,
     13  Signal::Signal  (std::string          name         ,
    1414                   direction_t     direction    ,
    1515                   uint32_t        size         ,
     
    3030    _type_info           = UNKNOW;
    3131#ifdef VHDL_TESTBENCH
    32     _list_value          = new list<string>;
     32    _list_value          = new std::list<std::string>;
    3333#endif
    3434    log_printf(FUNC,Behavioural,"Signal","End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_connect.cpp

    r66 r75  
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1919   
    20     cout << "connected : " << get_name() << "\twith " << signal_dest->get_name() << endl;
     20    //std::cout << "connected : " << get_name() << "\twith " << signal_dest->get_name() << std::endl;
    2121   
    2222    if ((_direction == IN ) and (signal_dest->_direction == IN ))
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_name.cpp

    r43 r75  
    1414#undef  FUNCTION
    1515#define FUNCTION "Signal::get_name"
    16   string Signal::get_name (void)
     16  std::string Signal::get_name (void)
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     string _return = _name;
     19    std::string _return = _name;
    2020    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2121    return _return;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_name_vhdl.cpp

    r43 r75  
    1515#undef  FUNCTION
    1616#define FUNCTION "Signal::get_name_vhdl"
    17   void Signal::get_name_vhdl (list<string> *& list_signal)
     17  void Signal::get_name_vhdl (std::list<std::string> *& list_signal)
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_reset_cycle.cpp

    r43 r75  
    2121    uint32_t num = 0;
    2222    uint32_t cpt = 0;
    23     string   cmp = (active_low==true)?"0":"1";
     23    std::string   cmp = (active_low==true)?"0":"1";
    2424
    2525    if ((_presence_port == RESET_VHDL_YES) or
     
    2828        if (_list_value->empty()== false)
    2929          {
    30             list<string>::iterator i = _list_value->begin();
     30            std::list<std::string>::iterator i = _list_value->begin();
    3131           
    3232            while (i != _list_value->end())
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_print.cpp

    r62 r75  
    1111namespace behavioural          {
    1212
    13   ostream& operator<< (ostream& output_stream,
    14                        morpheo::behavioural::Signal & x)
     13  std::ostream& operator<< (std::ostream& output_stream,
     14                            morpheo::behavioural::Signal & x)
    1515  {
    1616    log_printf(FUNC,Behavioural,"operator<<","Begin");
     
    2020                  << toString(x._direction)    << "\t"
    2121                  << toString(x._presence_port)
    22                   << "sc_signal : " << hex << x._sc_signal << " - " << x._sc_signal_map << dec;
     22                  << "sc_signal : " << std::hex << x._sc_signal << " - " << x._sc_signal_map << std::dec;
    2323
    2424    log_printf(FUNC,Behavioural,"operator<<","End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_test_map.cpp

    r62 r75  
    3131            {
    3232              if (_return == false)
    33                 cerr << "Signal \"" << _name << "\" is not mapped with an outpout port or a component." << endl;
     33                std::cerr << "Signal \"" << _name << "\" is not mapped with an outpout port or a component." << std::endl;
    3434              break;
    3535            }
     
    3737            {
    3838              if (_return == false)
    39                 cerr << "Signal \"" << _name << "\" is not mapped with an input port or a component." << endl;
     39                std::cerr << "Signal \"" << _name << "\" is not mapped with an input port or a component." << std::endl;
    4040              break;
    4141            }
     
    5252          {
    5353            if (_is_map_as_src  == false)
    54               cerr << "Signal \"" << _name << "\" is not mapped as source" << endl;
     54              std::cerr << "Signal \"" << _name << "\" is not mapped as source" << std::endl;
    5555            if (_is_map_as_dest == false)
    56               cerr << "Signal \"" << _name << "\" is not mapped as destination" << endl;
     56              std::cerr << "Signal \"" << _name << "\" is not mapped as destination" << std::endl;
    5757          }
    5858      }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_testbench.cpp

    r42 r75  
    2222        (_presence_port == RESET_VHDL_NO              ) )
    2323      {
    24         string str;
     24        std::string str;
    2525
    2626        switch (_type_info)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_testbench_body.cpp

    r42 r75  
    1313
    1414  void Signal::testbench_body (Vhdl * & vhdl,
    15                                string   counter_name,
    16                                string   reset_name  )
     15                               std::string   counter_name,
     16                               std::string   reset_name  )
    1717  {
    1818    log_printf(FUNC,Behavioural,"testbench_body","Begin");
     
    2424      if (_list_value->empty()== false)
    2525        {
    26           string separator;
     26          std::string separator;
    2727          if (_size == 1)
    2828            separator = "\'";
     
    3030            separator = "\"";
    3131         
    32           string signame = (_direction==OUT)?(_name+"_test"):_name;
     32          std::string signame = (_direction==OUT)?(_name+"_test"):_name;
    3333         
    3434          vhdl->set_body ("");
     
    3737         
    3838          uint32_t cpt = 0;
    39           list<string>::iterator i = _list_value->begin();
     39          std::list<std::string>::iterator i = _list_value->begin();
    4040         
    4141          while (i != _list_value->end())
    4242            {
    43               list<string>::iterator j = i;
     43              std::list<std::string>::iterator j = i;
    4444             
    4545              ++i;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Stat_print.cpp

    r74 r75  
    2121         stat != _list_stat->end();
    2222         stat ++)
    23       xml.text((*stat)->print(depth+1));
     23      xml.text((*stat)->print(1));
    2424   
    2525
    2626    // Test special case
    27     if (_cycle_sum < _nb_cycle_before_begin)
     27
     28    if (have_counter())
    2829      {
    29         xml.comment(_("Number of cycle is less than the number minimal"));
    30       }
    31     else
    32       {
    33         bool stop = false;
    34         cycle_t nb_cycle_min;
    35         cycle_t nb_cycle_max = _nb_cycle_before_begin-1;
    36         for (cycle_t period=0; stop==false; period++)
     30        if (_cycle_sum < _nb_cycle_before_begin)
    3731          {
    38             std::map<std::string, var_t>::iterator operand=_list_operand->begin();
     32            xml.comment(_("Number of cycle is less than the number minimal"));
     33          }
     34        else
     35          {
     36            bool stop = false;
     37            cycle_t nb_cycle_min;
     38            cycle_t nb_cycle_max = _nb_cycle_before_begin-1;
     39            for (cycle_t period=0; stop==false; period++)
     40              {
     41                std::map<std::string, var_t>::iterator operand=_list_operand->begin();
     42               
     43                if (operand->second.save_counter.size()==0)
     44                  throw(ERRORMORPHEO("Stat::generate_file",_("Queue 'save_counter' is empty.")));
     45               
     46                bool last = operand->second.save_counter.size()==1;
     47               
     48                nb_cycle_min  = nb_cycle_max+1;
     49               
     50                if (last)
     51                  nb_cycle_max = static_cast<cycle_t>(_cycle_sum);
     52                else
     53                  nb_cycle_max += _period;
    3954
    40             if (operand->second.save_counter.size()==0)
    41               throw(ERRORMORPHEO("Stat::generate_file",_("Queue 'save_counter' is empty.")));
    42 
    43             bool last = operand->second.save_counter.size()==1;
    44 
    45             nb_cycle_min  = nb_cycle_max+1;
    46 
    47             if (last)
    48               nb_cycle_max = static_cast<cycle_t>(_cycle_sum);
    49             else
    50               nb_cycle_max += _period;
    51 
    52             xml.balise_open_begin("period");
    53             xml.attribut("number",toString(period));
    54             xml.attribut("nb_cycle_min",toString(nb_cycle_min));
    55             xml.attribut("nb_cycle_max",toString(nb_cycle_max));
    56             xml.balise_open_end();
    57            
    58             for (;
    59                  operand!= _list_operand->end();
    60                  ++operand)
    61               {
    62                 if (operand->second.type == TYPE_COUNTER)
     55                xml.balise_open_begin("period");
     56                xml.attribut("number",toString(period));
     57                xml.attribut("nb_cycle_min",toString(nb_cycle_min));
     58                xml.attribut("nb_cycle_max",toString(nb_cycle_max));
     59                xml.balise_open_end();
     60               
     61                for (;
     62                     operand!= _list_operand->end();
     63                     ++operand)
    6364                  {
    64                     xml.singleton_begin(operand->second.name);
    65                     xml.attribut("value",toString(operand->second.save_counter.front()));
    66                     xml.attribut("unit",operand->second.unit);
    67                     xml.attribut("description",operand->second.description);
    68                     xml.singleton_end();
     65                    if (operand->second.type == TYPE_COUNTER)
     66                      {
     67                        xml.singleton_begin(operand->second.name);
     68                        xml.attribut("value",toString(operand->second.save_counter.front()));
     69                        xml.attribut("unit",operand->second.unit);
     70                        xml.attribut("description",operand->second.description);
     71                        xml.singleton_end();
     72                      }
     73                    operand->second.save_counter.pop_front();
    6974                  }
    70                 operand->second.save_counter.pop_front();
     75               
     76                xml.balise_close();
     77               
     78                stop = last;
    7179              }
    72 
    73             xml.balise_close();
    74            
    75             stop = last;
    7680          }
    7781      }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_text.cpp

    r71 r75  
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    18     _body += indent()+text+"\n";
     18    _body += indent()+text; // +"\n";
    1919    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2020
Note: See TracChangeset for help on using the changeset viewer.