Ignore:
Timestamp:
Dec 15, 2008, 12:04:03 PM (16 years ago)
Author:
rosiere
Message:

Update document on Vhdl generation.

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_ieee.cpp

    r81 r94  
    99
    1010#include "Behavioural/include/Vhdl.h"
     11#include "Common/include/Tabulation.h"
    1112
    1213#include <sstream>
     
    2122    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2223
    23     std::string        tab = std::string(depth,'\t');
     24    std::string        tab = morpheo::tab(depth);
    2425    std::ostringstream text;
    2526
    26     text << tab                                       << std::endl
    27          << tab << "library ieee;"                    << std::endl
    28          << tab << "use ieee.numeric_bit.all;"        << std::endl
    29          << tab << "use ieee.numeric_std.all;"        << std::endl
    30          << tab << "use ieee.std_logic_1164.all;"    << std::endl
    31          << tab << "use ieee.std_logic_arith.all;"    << std::endl
    32          << tab << "use ieee.std_logic_misc.all;"    << std::endl
    33          << tab << "--use ieee.std_logic_signed.all;" << std::endl
    34          << tab << "use ieee.std_logic_unsigned.all;" << std::endl
    35          << tab << "--use ieee.std_logic_textio.all;" << std::endl;
     27    text << tab                                         << std::endl
     28         << tab << "library ieee;"                      << std::endl
     29         << tab << "  use ieee.numeric_bit.all;       " << std::endl
     30         << tab << "  use ieee.numeric_std.all;       " << std::endl
     31         << tab << "  use ieee.std_logic_1164.all;    " << std::endl
     32         << tab << "  use ieee.std_logic_arith.all;   " << std::endl
     33         << tab << "  use ieee.std_logic_misc.all;    " << std::endl
     34         << tab << "--use ieee.std_logic_signed.all;  " << std::endl
     35         << tab << "  use ieee.std_logic_unsigned.all;" << std::endl
     36         << tab << "--use ieee.std_logic_textio.all;  " << std::endl;
    3637     
    3738    log_printf(FUNC,Behavioural,FUNCTION,"End");
Note: See TracChangeset for help on using the changeset viewer.