Ignore:
Timestamp:
Dec 16, 2008, 8:36:25 PM (16 years ago)
Author:
moulu
Message:

1) Execute_queue VHDL.

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Execute_loop/Execute_loop/Multi_Write_unit/Write_unit/Execute_queue/src/Execute_queue_vhdl_declaration.cpp

    r81 r96  
    33 * $Id$
    44 *
    5  * [ Description ]
     5 * [ Description ]
    66 *
    77 */
     
    2424  {
    2525    log_printf(FUNC,Execute_queue,FUNCTION,"Begin");
     26
     27    for (uint32_t i=0; i<_param->_size_queue; ++i)
     28      {
     29        if (_param->_have_port_context_id)
     30          vhdl->set_signal("reg_CONTEXT_ID_"+toString(i),_param->_size_context_id);
     31        if (_param->_have_port_front_end_id)
     32          vhdl->set_signal("reg_FRONT_END_ID_"+toString(i),_param->_size_front_end_id);
     33        if (_param->_have_port_ooo_engine_id)
     34          vhdl->set_signal("reg_OOO_ENGINE_ID_"+toString(i),_param->_size_ooo_engine_id);
     35        if (_param->_have_port_rob_ptr)
     36          vhdl->set_signal("reg_PACKET_ID_"+toString(i),_param->_size_rob_ptr);
     37        vhdl->set_signal("reg_FLAGS_"+toString(i),_param->_size_special_data);
     38        vhdl->set_signal("reg_EXCEPTION_"+toString(i),_param->_size_exception);
     39        vhdl->set_signal("reg_NO_SEQUENCE_"+toString(i),1);
     40        vhdl->set_signal("reg_ADDRESS_"+toString(i),_param->_size_instruction_address);
     41        vhdl->set_signal("reg_DATA_"+toString(i),_param->_size_general_data);
     42      }
     43
     44    vhdl->set_signal("reg_CURRENT_STATE",_param->_size_queue+1);
     45
     46    vhdl->set_signal("sig_NEXT_STATE",_param->_size_queue+1);
     47
     48    for (uint32_t i=0; i<_param->_size_queue-1; ++i)
     49      {
     50        vhdl->set_signal("sig_WEN_"+toString(i),1);
     51        vhdl->set_signal("sig_SEL_"+toString(i),1);
     52      }
     53    vhdl->set_signal("sig_WEN_"+toString(_param->_size_queue - 1),1);
     54
     55    vhdl->set_signal("sig_IN_ACK",1);
     56    vhdl->set_signal("sig_OUT_VAL",1);
     57
     58   for (uint32_t i=0; i<_param->_size_queue+1; ++i)
     59      vhdl->set_constant("STATE_"+toString(i),_param->_size_queue+1,1<<i);
     60
    2661    log_printf(FUNC,Execute_queue,FUNCTION,"End");
    2762  };
     
    3772}; // end namespace morpheo             
    3873#endif
     74//   signal reg_0             : std_logic_vector (15 downto 0);
     75//   signal reg_1             : std_logic_vector (15 downto 0);
     76//   signal reg_2             : std_logic_vector (15 downto 0);
     77//   signal reg_3             : std_logic_vector (15 downto 0);
     78//   signal reg_CURRENT_STATE : std_logic_vector (4 downto 0);
     79
     80//   signal sig_NEXT_STATE    : std_logic_vector (4 downto 0);
     81//   signal sig_WEN0          : std_logic;
     82//   signal sig_SEL0          : std_logic;
     83//   signal sig_WEN1          : std_logic;
     84//   signal sig_SEL1          : std_logic;
     85//   signal sig_WEN2          : std_logic;
     86//   signal sig_SEL2          : std_logic;
     87//   signal sig_WEN3          : std_logic;
Note: See TracChangeset for help on using the changeset viewer.