source: PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.01/iseconfig/MPI_CORE_COMPONENTS.projectmgr @ 39

Last change on this file since 39 was 28, checked in by rolagamo, 12 years ago
File size: 42.0 KB
Line 
1<?xml version='1.0' encoding='utf-8'?>
2<!--This is an ISE project configuration file.-->
3<!--It holds project specific layout data for the projectmgr plugin.-->
4<!--Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.-->
5<Project version="2" owner="projectmgr" name="MPI_CORE_COMPONENTS" >
6   <!--This is an ISE project configuration file.-->
7   <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
8      <ClosedNodes>
9         <ClosedNodesVersion>2</ClosedNodesVersion>
10         <ClosedNode>/CORE_MPI - Behavioral C:|Documents and Settings|Administrateur.SWEET-918992B6D|Bureau|MPSOC_MEMOIRE_FIN|MPI_CORE_COMPONENTS|CORE_MPI.vhd/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
11         <ClosedNode>/CORE_MPI - Behavioral C:|Documents and Settings|Administrateur.SWEET-918992B6D|Bureau|MPSOC_MEMOIRE_FIN|MPI_CORE_COMPONENTS|CORE_MPI.vhd/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
12         <ClosedNode>/CORE_MPI - Behavioral C:|Documents and Settings|Administrateur.SWEET-918992B6D|Bureau|MPSOC_MEMOIRE_FIN|MPI_CORE_COMPONENTS|CORE_MPI.vhd/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
13         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/Inst_RAM_v - RAM_v - Behavioral/MPI_PKG/mpi_pkg</ClosedNode>
14         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/MPI_PKG</ClosedNode>
15         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural</ClosedNode>
16         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
17         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
18         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
19         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT10_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
20         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT11_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
21         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT12_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
22         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT13_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
23         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT14_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
24         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT15_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
25         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT1_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
26         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT1_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
27         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT2_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
28         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT2_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
29         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT3_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
30         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT3_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
31         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT4_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
32         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT5_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
33         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT6_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
34         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT7_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
35         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT8_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
36         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORT9_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
37         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORTx16_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
38         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORTx16_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
39         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORTx4_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
40         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORTx8_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
41         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/PORTx9_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
42         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler10_10 - Scheduler - Behavioral</ClosedNode>
43         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler11_11 - Scheduler - Behavioral</ClosedNode>
44         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler12_12 - Scheduler - Behavioral</ClosedNode>
45         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler13_13 - Scheduler - Behavioral</ClosedNode>
46         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler14_14 - Scheduler - Behavioral</ClosedNode>
47         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler15_15 - Scheduler - Behavioral</ClosedNode>
48         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler16_16 - Scheduler - Behavioral</ClosedNode>
49         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler2_2 - Scheduler - Behavioral</ClosedNode>
50         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler3_3 - Scheduler - Behavioral</ClosedNode>
51         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler4_4 - Scheduler - Behavioral</ClosedNode>
52         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler5_5 - Scheduler - Behavioral</ClosedNode>
53         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler6_6 - Scheduler - Behavioral</ClosedNode>
54         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler7_7 - Scheduler - Behavioral</ClosedNode>
55         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler8_8 - Scheduler - Behavioral</ClosedNode>
56         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Scheduler9_9 - Scheduler - Behavioral</ClosedNode>
57         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar10_10 - Crossbar - Behavioral</ClosedNode>
58         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar11_11 - Crossbar - Behavioral</ClosedNode>
59         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar12_12 - Crossbar - Behavioral</ClosedNode>
60         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar13_13 - Crossbar - Behavioral</ClosedNode>
61         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar14_14 - Crossbar - Behavioral</ClosedNode>
62         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar15_15 - Crossbar - Behavioral</ClosedNode>
63         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar16_16 - Crossbar - Behavioral</ClosedNode>
64         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar2_2 - Crossbar - Behavioral</ClosedNode>
65         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar3_3 - Crossbar - Behavioral</ClosedNode>
66         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar4_4 - Crossbar - Behavioral</ClosedNode>
67         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar5_5 - Crossbar - Behavioral</ClosedNode>
68         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar6_6 - Crossbar - Behavioral</ClosedNode>
69         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar7_7 - Crossbar - Behavioral</ClosedNode>
70         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar8_8 - Crossbar - Behavioral</ClosedNode>
71         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar9_9 - Crossbar - Behavioral</ClosedNode>
72         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral</ClosedNode>
73         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/MPI_PKG</ClosedNode>
74         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/hardmpi - CORE_MPI - Structural/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
75         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/hardmpi - CORE_MPI - Structural/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
76         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/hardmpi - CORE_MPI - Structural/MPI_PKG</ClosedNode>
77         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT10_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
78         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT11_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
79         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT12_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
80         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT13_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
81         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT14_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
82         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT15_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
83         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT1_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
84         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT1_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
85         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT2_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
86         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT2_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
87         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT3_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
88         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT3_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
89         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT4_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
90         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT5_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
91         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT6_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
92         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT7_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
93         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT8_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
94         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORT9_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
95         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORTx16_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
96         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORTx16_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
97         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORTx4_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
98         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORTx8_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
99         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/PORTx9_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
100         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler10_10 - Scheduler - Behavioral</ClosedNode>
101         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler11_11 - Scheduler - Behavioral</ClosedNode>
102         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler12_12 - Scheduler - Behavioral</ClosedNode>
103         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler13_13 - Scheduler - Behavioral</ClosedNode>
104         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler14_14 - Scheduler - Behavioral</ClosedNode>
105         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler15_15 - Scheduler - Behavioral</ClosedNode>
106         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler16_16 - Scheduler - Behavioral</ClosedNode>
107         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler2_2 - Scheduler - Behavioral</ClosedNode>
108         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler3_3 - Scheduler - Behavioral</ClosedNode>
109         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler4_4 - Scheduler - Behavioral</ClosedNode>
110         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler5_5 - Scheduler - Behavioral</ClosedNode>
111         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler6_6 - Scheduler - Behavioral</ClosedNode>
112         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler7_7 - Scheduler - Behavioral</ClosedNode>
113         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler8_8 - Scheduler - Behavioral</ClosedNode>
114         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Scheduler9_9 - Scheduler - Behavioral</ClosedNode>
115         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar10_10 - Crossbar - Behavioral</ClosedNode>
116         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar11_11 - Crossbar - Behavioral</ClosedNode>
117         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar12_12 - Crossbar - Behavioral</ClosedNode>
118         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar13_13 - Crossbar - Behavioral</ClosedNode>
119         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar14_14 - Crossbar - Behavioral</ClosedNode>
120         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar15_15 - Crossbar - Behavioral</ClosedNode>
121         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar16_16 - Crossbar - Behavioral</ClosedNode>
122         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar2_2 - Crossbar - Behavioral</ClosedNode>
123         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar3_3 - Crossbar - Behavioral</ClosedNode>
124         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar4_4 - Crossbar - Behavioral</ClosedNode>
125         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar5_5 - Crossbar - Behavioral</ClosedNode>
126         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar6_6 - Crossbar - Behavioral</ClosedNode>
127         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar7_7 - Crossbar - Behavioral</ClosedNode>
128         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar8_8 - Crossbar - Behavioral</ClosedNode>
129         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/switch_gen - SWITCH_GEN - Behavioral/Switch_Crossbar9_9 - Crossbar - Behavioral</ClosedNode>
130         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd</ClosedNode>
131      </ClosedNodes>
132      <SelectedItems>
133         <SelectedItem>MultiMPITest - behavior (C:/Core MPI/CORE_MPI/MultiMPITest.vhd)</SelectedItem>
134      </SelectedItems>
135      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
136      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
137      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000002ca000000020000000000000000000000000000000064ffffffff000000810000000000000002000002ca0000000100000000000000000000000100000000</ViewHeaderState>
138      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
139      <CurrentItem>MultiMPITest - behavior (C:/Core MPI/CORE_MPI/MultiMPITest.vhd)</CurrentItem>
140   </ItemView>
141   <ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
142      <ClosedNodes>
143         <ClosedNodesVersion>1</ClosedNodesVersion>
144         <ClosedNode>Configure Target Device</ClosedNode>
145         <ClosedNode>Design Utilities</ClosedNode>
146         <ClosedNode>Implement Design/Place &amp; Route/Back-annotate Pin Locations</ClosedNode>
147         <ClosedNode>Implement Design/Place &amp; Route/Generate IBIS Model</ClosedNode>
148         <ClosedNode>Implement Design/Place &amp; Route/Generate Post-Place &amp; Route Static Timing</ClosedNode>
149         <ClosedNode>Implement Design/Translate</ClosedNode>
150         <ClosedNode>User Constraints</ClosedNode>
151      </ClosedNodes>
152      <SelectedItems>
153         <SelectedItem></SelectedItem>
154      </SelectedItems>
155      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
156      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
157      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
158      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
159      <CurrentItem></CurrentItem>
160   </ItemView>
161   <ItemView guiview="File" >
162      <ClosedNodes>
163         <ClosedNodesVersion>1</ClosedNodesVersion>
164      </ClosedNodes>
165      <SelectedItems>
166         <SelectedItem>C:\Core MPI\SWITCH_GENERIC_16_16\CoreTypes.vhd</SelectedItem>
167      </SelectedItems>
168      <ScrollbarPosition orientation="vertical" >15</ScrollbarPosition>
169      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
170      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000307000000040101000100000000000000000000000064ffffffff000000810000000000000004000001f90000000100000000000000440000000100000000000000660000000100000000000000640000000100000000</ViewHeaderState>
171      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
172      <CurrentItem>C:\Core MPI\SWITCH_GENERIC_16_16\CoreTypes.vhd</CurrentItem>
173   </ItemView>
174   <ItemView guiview="Library" >
175      <ClosedNodes>
176         <ClosedNodesVersion>1</ClosedNodesVersion>
177         <ClosedNode>work</ClosedNode>
178      </ClosedNodes>
179      <SelectedItems>
180         <SelectedItem>C:\Core MPI\SWITCH_GENERIC_16_16\SCHEDULER4_4.VHD</SelectedItem>
181      </SelectedItems>
182      <ScrollbarPosition orientation="vertical" >7</ScrollbarPosition>
183      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
184      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000103000000010001000100000000000000000000000064ffffffff000000810000000000000001000001030000000100000000</ViewHeaderState>
185      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
186      <CurrentItem>C:\Core MPI\SWITCH_GENERIC_16_16\SCHEDULER4_4.VHD</CurrentItem>
187   </ItemView>
188   <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
189      <ClosedNodes>
190         <ClosedNodesVersion>1</ClosedNodesVersion>
191         <ClosedNode>Design Utilities</ClosedNode>
192      </ClosedNodes>
193      <SelectedItems>
194         <SelectedItem/>
195      </SelectedItems>
196      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
197      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
198      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000001b0000000010000000100000000000000000000000064ffffffff000000810000000000000001000001b00000000100000000</ViewHeaderState>
199      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
200      <CurrentItem/>
201   </ItemView>
202   <ItemView engineview="BehavioralSim" guiview="Source" compilemode="AutoCompile" >
203      <ClosedNodes>
204         <ClosedNodesVersion>2</ClosedNodesVersion>
205         <ClosedNode>/CORE_MPI - Behavioral C:|Core MPI|CORE_MPI|CORE_MPI.vhd</ClosedNode>
206         <ClosedNode>/CORE_MPI - Behavioral C:|Core MPI|CORE_MPI|CORE_MPI.vhd/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
207         <ClosedNode>/CORE_MPI - Behavioral C:|Core MPI|CORE_MPI|CORE_MPI.vhd/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
208         <ClosedNode>/CORE_MPI - Behavioral C:|Core MPI|CORE_MPI|CORE_MPI.vhd/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
209         <ClosedNode>/CORE_MPI - Behavioral C:|Documents and Settings|Administrateur.SWEET-918992B6D|Bureau|MPSOC_MEMOIRE_FIN|MPI_CORE_COMPONENTS|CORE_MPI.vhd/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
210         <ClosedNode>/CORE_MPI - Behavioral C:|Documents and Settings|Administrateur.SWEET-918992B6D|Bureau|MPSOC_MEMOIRE_FIN|MPI_CORE_COMPONENTS|CORE_MPI.vhd/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
211         <ClosedNode>/CORE_MPI - Behavioral C:|Documents and Settings|Administrateur.SWEET-918992B6D|Bureau|MPSOC_MEMOIRE_FIN|MPI_CORE_COMPONENTS|CORE_MPI.vhd/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
212         <ClosedNode>/FIFO - TOP_HIER C:|Core MPI|CORE_MPI|FIfo_mem.vhd</ClosedNode>
213         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural</ClosedNode>
214         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
215         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
216         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
217         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral</ClosedNode>
218         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd</ClosedNode>
219         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/hardmpi - CORE_MPI - Behavioral/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
220         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/hardmpi - CORE_MPI - Behavioral/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
221         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/hardmpi - CORE_MPI - Behavioral/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
222         <ClosedNode>/MPI_NOC - structural C:|Core MPI|CORE_MPI|MPI_NOC.vhd/hardmpi - CORE_MPI - Structural/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
223         <ClosedNode>/MPI_PKG C:|Core MPI|CORE_MPI|MPI_PKG.vhd</ClosedNode>
224         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/PE1 - PE - Behavioral</ClosedNode>
225         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/PE2 - PE - Behavioral</ClosedNode>
226         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/uut - MPI_NOC - structural</ClosedNode>
227         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural</ClosedNode>
228         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/uut - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral</ClosedNode>
229         <ClosedNode>/Unassigned User Library Modules</ClosedNode>
230         <ClosedNode>/Unassigned User Library Modules/Scheduler12_12 - Behavioral</ClosedNode>
231         <ClosedNode>/Unassigned User Library Modules/Scheduler13_13 - Behavioral</ClosedNode>
232         <ClosedNode>/Unassigned User Library Modules/Scheduler14_14 - Behavioral</ClosedNode>
233         <ClosedNode>/Unassigned User Library Modules/Scheduler15_15 - Behavioral</ClosedNode>
234         <ClosedNode>/Unassigned User Library Modules/Scheduler16_16 - Behavioral</ClosedNode>
235         <ClosedNode>/Unassigned User Library Modules/Scheduler2_2 - Behavioral</ClosedNode>
236         <ClosedNode>/Unassigned User Library Modules/Scheduler3_3 - Behavioral</ClosedNode>
237         <ClosedNode>/Unassigned User Library Modules/Scheduler5_5 - Behavioral</ClosedNode>
238         <ClosedNode>/Unassigned User Library Modules/Scheduler6_6 - Behavioral</ClosedNode>
239         <ClosedNode>/Unassigned User Library Modules/Scheduler7_7 - Behavioral</ClosedNode>
240         <ClosedNode>/Unassigned User Library Modules/test_xbar_16x16 - behavior</ClosedNode>
241         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior</ClosedNode>
242         <ClosedNode>/testbench - behavior C:|Core MPI|CORE_MPI|sim_fifo.vhd/uut - FIFO_256_FWFT - Behavioral</ClosedNode>
243      </ClosedNodes>
244      <SelectedItems>
245         <SelectedItem>xc6slx100-3fgg484</SelectedItem>
246      </SelectedItems>
247      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
248      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
249      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000002c1000000020000000000000000000000000000000064ffffffff000000810000000000000002000002c10000000100000000000000000000000100000000</ViewHeaderState>
250      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
251      <CurrentItem>xc6slx100-3fgg484</CurrentItem>
252   </ItemView>
253   <ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
254      <ClosedNodes>
255         <ClosedNodesVersion>1</ClosedNodesVersion>
256         <ClosedNode>Design Utilities/Compile HDL Simulation Libraries</ClosedNode>
257      </ClosedNodes>
258      <SelectedItems>
259         <SelectedItem></SelectedItem>
260      </SelectedItems>
261      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
262      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
263      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000010d000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010d0000000100000000</ViewHeaderState>
264      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
265      <CurrentItem></CurrentItem>
266   </ItemView>
267   <ItemView engineview="BehavioralSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
268      <ClosedNodes>
269         <ClosedNodesVersion>1</ClosedNodesVersion>
270      </ClosedNodes>
271      <SelectedItems>
272         <SelectedItem/>
273      </SelectedItems>
274      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
275      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
276      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000010d000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010d0000000100000000</ViewHeaderState>
277      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
278      <CurrentItem/>
279   </ItemView>
280   <SourceProcessView>000000ff0000000000000002000000db000000a801000000050100000002</SourceProcessView>
281   <CurrentView>Behavioral Simulation</CurrentView>
282   <ItemView engineview="BehavioralSim" sourcetype="DESUT_VHDL_PACKAGE_DECL" guiview="Process" >
283      <ClosedNodes>
284         <ClosedNodesVersion>1</ClosedNodesVersion>
285      </ClosedNodes>
286      <SelectedItems>
287         <SelectedItem>Check Syntax</SelectedItem>
288      </SelectedItems>
289      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
290      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
291      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000026b000000010000000100000000000000000000000064ffffffff0000008100000000000000010000026b0000000100000000</ViewHeaderState>
292      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
293      <CurrentItem>Check Syntax</CurrentItem>
294   </ItemView>
295   <ItemView engineview="BehavioralSim" sourcetype="DESUT_VHDL_PACKAGE_BODY" guiview="Process" >
296      <ClosedNodes>
297         <ClosedNodesVersion>1</ClosedNodesVersion>
298      </ClosedNodes>
299      <SelectedItems>
300         <SelectedItem/>
301      </SelectedItems>
302      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
303      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
304      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000001ec000000010000000100000000000000000000000064ffffffff000000810000000000000001000001ec0000000100000000</ViewHeaderState>
305      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
306      <CurrentItem/>
307   </ItemView>
308   <ItemView engineview="BehavioralSim" sourcetype="DESUT_SCHEMATIC" guiview="Process" >
309      <ClosedNodes>
310         <ClosedNodesVersion>1</ClosedNodesVersion>
311         <ClosedNode>ISim Simulator</ClosedNode>
312      </ClosedNodes>
313      <SelectedItems>
314         <SelectedItem/>
315      </SelectedItems>
316      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
317      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
318      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000147000000010000000100000000000000000000000064ffffffff000000810000000000000001000001470000000100000000</ViewHeaderState>
319      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
320      <CurrentItem/>
321   </ItemView>
322   <ItemView engineview="BehavioralSim" sourcetype="DESUT_VERILOG" guiview="Process" >
323      <ClosedNodes>
324         <ClosedNodesVersion>1</ClosedNodesVersion>
325      </ClosedNodes>
326      <SelectedItems/>
327      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
328      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
329      <ViewHeaderState orientation="horizontal" />
330      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
331      <CurrentItem/>
332   </ItemView>
333   <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="ManualCompile" >
334      <ClosedNodes>
335         <ClosedNodesVersion>2</ClosedNodesVersion>
336      </ClosedNodes>
337      <SelectedItems>
338         <SelectedItem>MPI_PKG.vhd (C:/Core MPI/CORE_MPI/MPI_PKG.vhd)</SelectedItem>
339      </SelectedItems>
340      <ScrollbarPosition orientation="vertical" >42</ScrollbarPosition>
341      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
342      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000001a6000000020000000000000000000000000000000064ffffffff0000008100000000000000020000011900000001000000000000008d0000000100000000</ViewHeaderState>
343      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
344      <CurrentItem>MPI_PKG.vhd (C:/Core MPI/CORE_MPI/MPI_PKG.vhd)</CurrentItem>
345   </ItemView>
346   <ItemView engineview="PostTransSim" guiview="Source" compilemode="AutoCompile" >
347      <ClosedNodes>
348         <ClosedNodesVersion>2</ClosedNodesVersion>
349         <ClosedNode>/Unassigned User Library Modules/test_xbar_16x16 - behavior</ClosedNode>
350      </ClosedNodes>
351      <SelectedItems>
352         <SelectedItem>stimuli45 - behavioral (C:/Core MPI/SWITCH_GENERIC_16_16/stimuli1.vhd)</SelectedItem>
353      </SelectedItems>
354      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
355      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
356      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000189000000020000000000000000000000000000000064ffffffff000000810000000000000002000001890000000100000000000000000000000100000000</ViewHeaderState>
357      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
358      <CurrentItem>stimuli45 - behavioral (C:/Core MPI/SWITCH_GENERIC_16_16/stimuli1.vhd)</CurrentItem>
359   </ItemView>
360   <ItemView engineview="PostTransSim" sourcetype="" guiview="Process" >
361      <ClosedNodes>
362         <ClosedNodesVersion>1</ClosedNodesVersion>
363      </ClosedNodes>
364      <SelectedItems>
365         <SelectedItem>Compile HDL Simulation Libraries</SelectedItem>
366      </SelectedItems>
367      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
368      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
369      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000115000000010000000100000000000000000000000064ffffffff000000810000000000000001000001150000000100000000</ViewHeaderState>
370      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
371      <CurrentItem>Compile HDL Simulation Libraries</CurrentItem>
372   </ItemView>
373   <ItemView engineview="PostTransSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
374      <ClosedNodes>
375         <ClosedNodesVersion>1</ClosedNodesVersion>
376         <ClosedNode>ISim Simulator</ClosedNode>
377      </ClosedNodes>
378      <SelectedItems>
379         <SelectedItem/>
380      </SelectedItems>
381      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
382      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
383      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000115000000010000000100000000000000000000000064ffffffff000000810000000000000001000001150000000100000000</ViewHeaderState>
384      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
385      <CurrentItem/>
386   </ItemView>
387</Project>
Note: See TracBrowser for help on using the repository browser.