Ignore:
Timestamp:
Nov 29, 2012, 6:33:22 PM (12 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/TRUNK/iseconfig/MPI_CORE_COMPONENTS.projectmgr

    r18 r28  
    166166         <SelectedItem>C:\Core MPI\SWITCH_GENERIC_16_16\CoreTypes.vhd</SelectedItem>
    167167      </SelectedItems>
    168       <ScrollbarPosition orientation="vertical" >17</ScrollbarPosition>
     168      <ScrollbarPosition orientation="vertical" >15</ScrollbarPosition>
    169169      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    170170      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000307000000040101000100000000000000000000000064ffffffff000000810000000000000004000001f90000000100000000000000440000000100000000000000660000000100000000000000640000000100000000</ViewHeaderState>
     
    270270      </ClosedNodes>
    271271      <SelectedItems>
    272          <SelectedItem></SelectedItem>
     272         <SelectedItem/>
    273273      </SelectedItems>
    274274      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     
    276276      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000010d000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010d0000000100000000</ViewHeaderState>
    277277      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    278       <CurrentItem></CurrentItem>
     278      <CurrentItem/>
    279279   </ItemView>
    280280   <SourceProcessView>000000ff0000000000000002000000db000000a801000000050100000002</SourceProcessView>
Note: See TracChangeset for help on using the changeset viewer.