source: PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0/NOC/SCHEDULER9_9.VHD @ 153

Last change on this file since 153 was 139, checked in by rolagamo, 10 years ago

Ceci est la version 16 bits de la plateforme ainsi que la version hierarchique du NoCNoC

File size: 49.7 KB
Line 
1---------------------------------------------------------------------------------
2-- Company:
3-- Engineer: KIEGAING EMMANUEL GEL EN 5
4--
5-- Create Date:    03:56:34 05/06/2011
6-- Design Name:
7-- Module Name:    Sheduler - Behavioral
8-- Project Name:
9-- Target Devices:
10-- Tool versions:
11-- Description: Module de l'ordonnanceur du switch crossbar
12-- l'algorithme utilisée est le DPA (diagonal propagation arbiter)
13--
14-- Dependencies:
15--
16-- Revision:
17-- Revision 0.01 - File Created
18-- Additional Comments:
19--
20----------------------------------------------------------------------------------
21library IEEE;
22use IEEE.STD_LOGIC_1164.ALL;
23use IEEE.STD_LOGIC_ARITH.ALL;
24use IEEE.STD_LOGIC_UNSIGNED.ALL;
25--use Work.Sheduler_package.all;
26
27---- Uncomment the following library declaration if instantiating
28---- any Xilinx primitives in this code.
29--library UNISIM;
30--use UNISIM.VComponents.all;
31entity Scheduler9_9 is
32    Port ( Req : in  STD_LOGIC_VECTOR (81 downto 1);
33                   Fifo_full : in STD_LOGIC_VECTOR (9 downto 1);
34           clk : in  STD_LOGIC;
35           reset : in  STD_LOGIC;
36            priority_rotation : in  STD_LOGIC_VECTOR (9 downto 1);
37           port_grant : out  STD_LOGIC_VECTOR (81 downto 1));
38end Scheduler9_9;
39
40architecture Behavioral of Scheduler9_9 is
41 --déclaration de constantes
42Constant NB_IO : positive:=9; --le nombre de ports d'entrée/sortie
43--Declaration du types
44--tableau de signaux de connexion des cellules arbitres
45TYPE C_Bar_Signal_Array IS ARRAY(NB_IO*2-1 downto 1) of STD_LOGIC_VECTOR(NB_IO downto 1);
46-- declaration du composant cellule d'arbitrage
47Component Arbiter
48  PORT (P, Fifo_full,Request, West,North : in  STD_LOGIC;
49        Grant,East,South : out  STD_LOGIC );
50End Component;
51component Def_Request is
52generic (NB_IO :positive:=9);
53    Port ( Req : in  STD_LOGIC_VECTOR (NB_IO**2 downto 1);
54           clk : in  STD_LOGIC;
55           reset : in  STD_LOGIC;
56                          fifo_full : in STD_LOGIC_VECTOR (NB_IO downto 1);
57           priority_rotation : in  STD_LOGIC_VECTOR (NB_IO downto 1);
58           grant : in  STD_LOGIC_VECTOR (NB_IO**2 downto 1);
59           request : out  STD_LOGIC_VECTOR (NB_IO**2 downto 1));
60end component;
61constant NB_IO2 :positive:=NB_IO**2; -- le carré du nombre de ports d'E/S
62
63--Signaux de connexion des cellues
64SIGNAL south_2_north :  C_Bar_Signal_Array; -- connexion south north
65SIGNAL east_2_west   :  C_Bar_Signal_Array; -- connexion east west
66SIGNAL Signal_mask      : C_Bar_Signal_Array;-- connexion des masques de priorité
67SIGNAL Signal_grant     : C_Bar_Signal_Array;-- connexion des signaux de validation
68SIGNAL Signal_priority  : STD_LOGIC_VECTOR (17 DOWNTO 1);--signal pour la connection des vecteur de priorité
69SIGNAL High         : std_logic;--niveau pour les cellules des extremités nord et ouest
70
71 signal priority_rotation_en : std_logic;
72signal Grant,request :  std_logic_vector(NB_IO2 downto 1):=(others=>'0');
73 begin
74
75--validation de la rotation de priorité lorsque aucun port n'emet
76-- priority_rotation_en <= '1' when unsigned(priority_rotation) = 511 else      '0';tation) = 511 else  '0';
77 priority_rotation_en <= '1' when   unsigned(priority_rotation) = 2**NB_IO-1 else       '0';
78--evaluation du signal request
79inst_defreq: def_request generic map (NB_IO=>9)
80port map (clk=>clk,
81reset=>reset,
82req=>req,
83fifo_full=>fifo_full,
84priority_rotation=>priority_rotation,
85grant=>grant,
86request=>request
87);
88
89 port_grant <=  grant;
90 Grant(1)  <= Signal_grant(1)(1) or Signal_grant(10)(1); --  Grant(1,1)
91Grant(2)  <= Signal_grant(2)(2) or Signal_grant(11)(2); --  Grant(1,2)
92Grant(3)  <= Signal_grant(3)(3) or Signal_grant(12)(3); --  Grant(1,3)
93Grant(4)  <= Signal_grant(4)(4) or Signal_grant(13)(4); --  Grant(1,4)
94Grant(5)  <= Signal_grant(5)(5) or Signal_grant(14)(5); --  Grant(1,5)
95Grant(6)  <= Signal_grant(6)(6) or Signal_grant(15)(6); --  Grant(1,6)
96Grant(7)  <= Signal_grant(7)(7) or Signal_grant(16)(7); --  Grant(1,7)
97Grant(8)  <= Signal_grant(8)(8) or Signal_grant(17)(8); --  Grant(1,8)
98Grant(9)  <= Signal_grant(9)(9) ;                      --  Grant(1,9)
99Grant(10)  <= Signal_grant(2)(1) or Signal_grant(11)(1); --  Grant(2,1)
100Grant(11)  <= Signal_grant(3)(2) or Signal_grant(12)(2); --  Grant(2,2)
101Grant(12)  <= Signal_grant(4)(3) or Signal_grant(13)(3); --  Grant(2,3)
102Grant(13)  <= Signal_grant(5)(4) or Signal_grant(14)(4); --  Grant(2,4)
103Grant(14)  <= Signal_grant(6)(5) or Signal_grant(15)(5); --  Grant(2,5)
104Grant(15)  <= Signal_grant(7)(6) or Signal_grant(16)(6); --  Grant(2,6)
105Grant(16)  <= Signal_grant(8)(7) or Signal_grant(17)(7); --  Grant(2,7)
106Grant(17)  <= Signal_grant(9)(8) ;                      --  Grant(2,8)
107Grant(18)  <= Signal_grant(1)(9) or Signal_grant(10)(9); --  Grant(2,9)
108Grant(19)  <= Signal_grant(3)(1) or Signal_grant(12)(1); --  Grant(3,1)
109Grant(20)  <= Signal_grant(4)(2) or Signal_grant(13)(2); --  Grant(3,2)
110Grant(21)  <= Signal_grant(5)(3) or Signal_grant(14)(3); --  Grant(3,3)
111Grant(22)  <= Signal_grant(6)(4) or Signal_grant(15)(4); --  Grant(3,4)
112Grant(23)  <= Signal_grant(7)(5) or Signal_grant(16)(5); --  Grant(3,5)
113Grant(24)  <= Signal_grant(8)(6) or Signal_grant(17)(6); --  Grant(3,6)
114Grant(25)  <= Signal_grant(9)(7) ;                      --  Grant(3,7)
115Grant(26)  <= Signal_grant(1)(8) or Signal_grant(10)(8); --  Grant(3,8)
116Grant(27)  <= Signal_grant(2)(9) or Signal_grant(11)(9); --  Grant(3,9)
117Grant(28)  <= Signal_grant(4)(1) or Signal_grant(13)(1); --  Grant(4,1)
118Grant(29)  <= Signal_grant(5)(2) or Signal_grant(14)(2); --  Grant(4,2)
119Grant(30)  <= Signal_grant(6)(3) or Signal_grant(15)(3); --  Grant(4,3)
120Grant(31)  <= Signal_grant(7)(4) or Signal_grant(16)(4); --  Grant(4,4)
121Grant(32)  <= Signal_grant(8)(5) or Signal_grant(17)(5); --  Grant(4,5)
122Grant(33)  <= Signal_grant(9)(6) ;                      --  Grant(4,6)
123Grant(34)  <= Signal_grant(1)(7) or Signal_grant(10)(7); --  Grant(4,7)
124Grant(35)  <= Signal_grant(2)(8) or Signal_grant(11)(8); --  Grant(4,8)
125Grant(36)  <= Signal_grant(3)(9) or Signal_grant(12)(9); --  Grant(4,9)
126Grant(37)  <= Signal_grant(5)(1) or Signal_grant(14)(1); --  Grant(5,1)
127Grant(38)  <= Signal_grant(6)(2) or Signal_grant(15)(2); --  Grant(5,2)
128Grant(39)  <= Signal_grant(7)(3) or Signal_grant(16)(3); --  Grant(5,3)
129Grant(40)  <= Signal_grant(8)(4) or Signal_grant(17)(4); --  Grant(5,4)
130Grant(41)  <= Signal_grant(9)(5) ;                      --  Grant(5,5)
131Grant(42)  <= Signal_grant(1)(6) or Signal_grant(10)(6); --  Grant(5,6)
132Grant(43)  <= Signal_grant(2)(7) or Signal_grant(11)(7); --  Grant(5,7)
133Grant(44)  <= Signal_grant(3)(8) or Signal_grant(12)(8); --  Grant(5,8)
134Grant(45)  <= Signal_grant(4)(9) or Signal_grant(13)(9); --  Grant(5,9)
135Grant(46)  <= Signal_grant(6)(1) or Signal_grant(15)(1); --  Grant(6,1)
136Grant(47)  <= Signal_grant(7)(2) or Signal_grant(16)(2); --  Grant(6,2)
137Grant(48)  <= Signal_grant(8)(3) or Signal_grant(17)(3); --  Grant(6,3)
138Grant(49)  <= Signal_grant(9)(4) ;                      --  Grant(6,4)
139Grant(50)  <= Signal_grant(1)(5) or Signal_grant(10)(5); --  Grant(6,5)
140Grant(51)  <= Signal_grant(2)(6) or Signal_grant(11)(6); --  Grant(6,6)
141Grant(52)  <= Signal_grant(3)(7) or Signal_grant(12)(7); --  Grant(6,7)
142Grant(53)  <= Signal_grant(4)(8) or Signal_grant(13)(8); --  Grant(6,8)
143Grant(54)  <= Signal_grant(5)(9) or Signal_grant(14)(9); --  Grant(6,9)
144Grant(55)  <= Signal_grant(7)(1) or Signal_grant(16)(1); --  Grant(7,1)
145Grant(56)  <= Signal_grant(8)(2) or Signal_grant(17)(2); --  Grant(7,2)
146Grant(57)  <= Signal_grant(9)(3) ;                      --  Grant(7,3)
147Grant(58)  <= Signal_grant(1)(4) or Signal_grant(10)(4); --  Grant(7,4)
148Grant(59)  <= Signal_grant(2)(5) or Signal_grant(11)(5); --  Grant(7,5)
149Grant(60)  <= Signal_grant(3)(6) or Signal_grant(12)(6); --  Grant(7,6)
150Grant(61)  <= Signal_grant(4)(7) or Signal_grant(13)(7); --  Grant(7,7)
151Grant(62)  <= Signal_grant(5)(8) or Signal_grant(14)(8); --  Grant(7,8)
152Grant(63)  <= Signal_grant(6)(9) or Signal_grant(15)(9); --  Grant(7,9)
153Grant(64)  <= Signal_grant(8)(1) or Signal_grant(17)(1); --  Grant(8,1)
154Grant(65)  <= Signal_grant(9)(2) ;                      --  Grant(8,2)
155Grant(66)  <= Signal_grant(1)(3) or Signal_grant(10)(3); --  Grant(8,3)
156Grant(67)  <= Signal_grant(2)(4) or Signal_grant(11)(4); --  Grant(8,4)
157Grant(68)  <= Signal_grant(3)(5) or Signal_grant(12)(5); --  Grant(8,5)
158Grant(69)  <= Signal_grant(4)(6) or Signal_grant(13)(6); --  Grant(8,6)
159Grant(70)  <= Signal_grant(5)(7) or Signal_grant(14)(7); --  Grant(8,7)
160Grant(71)  <= Signal_grant(6)(8) or Signal_grant(15)(8); --  Grant(8,8)
161Grant(72)  <= Signal_grant(7)(9) or Signal_grant(16)(9); --  Grant(8,9)
162Grant(73)  <= Signal_grant(9)(1) ;                      --  Grant(9,1)
163Grant(74)  <= Signal_grant(1)(2) or Signal_grant(10)(2); --  Grant(9,2)
164Grant(75)  <= Signal_grant(2)(3) or Signal_grant(11)(3); --  Grant(9,3)
165Grant(76)  <= Signal_grant(3)(4) or Signal_grant(12)(4); --  Grant(9,4)
166Grant(77)  <= Signal_grant(4)(5) or Signal_grant(13)(5); --  Grant(9,5)
167Grant(78)  <= Signal_grant(5)(6) or Signal_grant(14)(6); --  Grant(9,6)
168Grant(79)  <= Signal_grant(6)(7) or Signal_grant(15)(7); --  Grant(9,7)
169Grant(80)  <= Signal_grant(7)(8) or Signal_grant(16)(8); --  Grant(9,8)
170Grant(81)  <= Signal_grant(8)(9) or Signal_grant(17)(9); --  Grant(9,9)
171High <= '1';
172
173----instantiations des cellules arbitres et interconnection
174
175-------------------------- Diagonale n° 1
176
177
178Arbiter_1_1 : Arbiter
179
180PORT MAP (Request => Request(1), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(1), 
181South => south_2_north(1)(1), East => east_2_west(1)(1) , Grant => Signal_grant(1)(1));
182
183Arbiter_1_2 : Arbiter
184
185PORT MAP (Request => Request(74), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(2), 
186South => south_2_north(1)(2), East => east_2_west(1)(2) , Grant => Signal_grant(1)(2));
187
188Arbiter_1_3 : Arbiter
189
190PORT MAP (Request => Request(66), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(3), 
191South => south_2_north(1)(3), East => east_2_west(1)(3) , Grant => Signal_grant(1)(3));
192
193Arbiter_1_4 : Arbiter
194
195PORT MAP (Request => Request(58), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(4), 
196South => south_2_north(1)(4), East => east_2_west(1)(4) , Grant => Signal_grant(1)(4));
197
198Arbiter_1_5 : Arbiter
199
200PORT MAP (Request => Request(50), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(5), 
201South => south_2_north(1)(5), East => east_2_west(1)(5) , Grant => Signal_grant(1)(5));
202
203Arbiter_1_6 : Arbiter
204
205PORT MAP (Request => Request(42), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(6), 
206South => south_2_north(1)(6), East => east_2_west(1)(6) , Grant => Signal_grant(1)(6));
207
208Arbiter_1_7 : Arbiter
209
210PORT MAP (Request => Request(34), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(7), 
211South => south_2_north(1)(7), East => east_2_west(1)(7) , Grant => Signal_grant(1)(7));
212
213Arbiter_1_8 : Arbiter
214
215PORT MAP (Request => Request(26), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(8), 
216South => south_2_north(1)(8), East => east_2_west(1)(8) , Grant => Signal_grant(1)(8));
217
218Arbiter_1_9 : Arbiter
219
220PORT MAP (Request => Request(18), North => High, West => High, P => Signal_priority(17), Fifo_full => Fifo_full(9), 
221South => south_2_north(1)(9), East => east_2_west(1)(9) , Grant => Signal_grant(1)(9));
222
223-------------------------- Diagonale n° 2
224
225
226Arbiter_2_1 : Arbiter
227
228PORT MAP (Request => Request(10), North => south_2_north(1)(1), West => east_2_west(1)(9), P => Signal_priority(16), Fifo_full => Fifo_full(1), 
229South => south_2_north(2)(1), East => east_2_west(2)(1) , Grant => Signal_grant(2)(1));
230
231Arbiter_2_2 : Arbiter
232
233PORT MAP (Request => Request(2), North => south_2_north(1)(2), West => east_2_west(1)(1), P => Signal_priority(16), Fifo_full => Fifo_full(2), 
234South => south_2_north(2)(2), East => east_2_west(2)(2) , Grant => Signal_grant(2)(2));
235
236Arbiter_2_3 : Arbiter
237
238PORT MAP (Request => Request(75), North => south_2_north(1)(3), West => east_2_west(1)(2), P => Signal_priority(16), Fifo_full => Fifo_full(3), 
239South => south_2_north(2)(3), East => east_2_west(2)(3) , Grant => Signal_grant(2)(3));
240
241Arbiter_2_4 : Arbiter
242
243PORT MAP (Request => Request(67), North => south_2_north(1)(4), West => east_2_west(1)(3), P => Signal_priority(16), Fifo_full => Fifo_full(4), 
244South => south_2_north(2)(4), East => east_2_west(2)(4) , Grant => Signal_grant(2)(4));
245
246Arbiter_2_5 : Arbiter
247
248PORT MAP (Request => Request(59), North => south_2_north(1)(5), West => east_2_west(1)(4), P => Signal_priority(16), Fifo_full => Fifo_full(5), 
249South => south_2_north(2)(5), East => east_2_west(2)(5) , Grant => Signal_grant(2)(5));
250
251Arbiter_2_6 : Arbiter
252
253PORT MAP (Request => Request(51), North => south_2_north(1)(6), West => east_2_west(1)(5), P => Signal_priority(16), Fifo_full => Fifo_full(6), 
254South => south_2_north(2)(6), East => east_2_west(2)(6) , Grant => Signal_grant(2)(6));
255
256Arbiter_2_7 : Arbiter
257
258PORT MAP (Request => Request(43), North => south_2_north(1)(7), West => east_2_west(1)(6), P => Signal_priority(16), Fifo_full => Fifo_full(7), 
259South => south_2_north(2)(7), East => east_2_west(2)(7) , Grant => Signal_grant(2)(7));
260
261Arbiter_2_8 : Arbiter
262
263PORT MAP (Request => Request(35), North => south_2_north(1)(8), West => east_2_west(1)(7), P => Signal_priority(16), Fifo_full => Fifo_full(8), 
264South => south_2_north(2)(8), East => east_2_west(2)(8) , Grant => Signal_grant(2)(8));
265
266Arbiter_2_9 : Arbiter
267
268PORT MAP (Request => Request(27), North => south_2_north(1)(9), West => east_2_west(1)(8), P => Signal_priority(16), Fifo_full => Fifo_full(9), 
269South => south_2_north(2)(9), East => east_2_west(2)(9) , Grant => Signal_grant(2)(9));
270
271-------------------------- Diagonale n° 3
272
273
274Arbiter_3_1 : Arbiter
275
276PORT MAP (Request => Request(19), North => south_2_north(2)(1), West => east_2_west(2)(9), P => Signal_priority(15), Fifo_full => Fifo_full(1), 
277South => south_2_north(3)(1), East => east_2_west(3)(1) , Grant => Signal_grant(3)(1));
278
279Arbiter_3_2 : Arbiter
280
281PORT MAP (Request => Request(11), North => south_2_north(2)(2), West => east_2_west(2)(1), P => Signal_priority(15), Fifo_full => Fifo_full(2), 
282South => south_2_north(3)(2), East => east_2_west(3)(2) , Grant => Signal_grant(3)(2));
283
284Arbiter_3_3 : Arbiter
285
286PORT MAP (Request => Request(3), North => south_2_north(2)(3), West => east_2_west(2)(2), P => Signal_priority(15), Fifo_full => Fifo_full(3), 
287South => south_2_north(3)(3), East => east_2_west(3)(3) , Grant => Signal_grant(3)(3));
288
289Arbiter_3_4 : Arbiter
290
291PORT MAP (Request => Request(76), North => south_2_north(2)(4), West => east_2_west(2)(3), P => Signal_priority(15), Fifo_full => Fifo_full(4), 
292South => south_2_north(3)(4), East => east_2_west(3)(4) , Grant => Signal_grant(3)(4));
293
294Arbiter_3_5 : Arbiter
295
296PORT MAP (Request => Request(68), North => south_2_north(2)(5), West => east_2_west(2)(4), P => Signal_priority(15), Fifo_full => Fifo_full(5), 
297South => south_2_north(3)(5), East => east_2_west(3)(5) , Grant => Signal_grant(3)(5));
298
299Arbiter_3_6 : Arbiter
300
301PORT MAP (Request => Request(60), North => south_2_north(2)(6), West => east_2_west(2)(5), P => Signal_priority(15), Fifo_full => Fifo_full(6), 
302South => south_2_north(3)(6), East => east_2_west(3)(6) , Grant => Signal_grant(3)(6));
303
304Arbiter_3_7 : Arbiter
305
306PORT MAP (Request => Request(52), North => south_2_north(2)(7), West => east_2_west(2)(6), P => Signal_priority(15), Fifo_full => Fifo_full(7), 
307South => south_2_north(3)(7), East => east_2_west(3)(7) , Grant => Signal_grant(3)(7));
308
309Arbiter_3_8 : Arbiter
310
311PORT MAP (Request => Request(44), North => south_2_north(2)(8), West => east_2_west(2)(7), P => Signal_priority(15), Fifo_full => Fifo_full(8), 
312South => south_2_north(3)(8), East => east_2_west(3)(8) , Grant => Signal_grant(3)(8));
313
314Arbiter_3_9 : Arbiter
315
316PORT MAP (Request => Request(36), North => south_2_north(2)(9), West => east_2_west(2)(8), P => Signal_priority(15), Fifo_full => Fifo_full(9), 
317South => south_2_north(3)(9), East => east_2_west(3)(9) , Grant => Signal_grant(3)(9));
318
319-------------------------- Diagonale n° 4
320
321
322Arbiter_4_1 : Arbiter
323
324PORT MAP (Request => Request(28), North => south_2_north(3)(1), West => east_2_west(3)(9), P => Signal_priority(14), Fifo_full => Fifo_full(1), 
325South => south_2_north(4)(1), East => east_2_west(4)(1) , Grant => Signal_grant(4)(1));
326
327Arbiter_4_2 : Arbiter
328
329PORT MAP (Request => Request(20), North => south_2_north(3)(2), West => east_2_west(3)(1), P => Signal_priority(14), Fifo_full => Fifo_full(2), 
330South => south_2_north(4)(2), East => east_2_west(4)(2) , Grant => Signal_grant(4)(2));
331
332Arbiter_4_3 : Arbiter
333
334PORT MAP (Request => Request(12), North => south_2_north(3)(3), West => east_2_west(3)(2), P => Signal_priority(14), Fifo_full => Fifo_full(3), 
335South => south_2_north(4)(3), East => east_2_west(4)(3) , Grant => Signal_grant(4)(3));
336
337Arbiter_4_4 : Arbiter
338
339PORT MAP (Request => Request(4), North => south_2_north(3)(4), West => east_2_west(3)(3), P => Signal_priority(14), Fifo_full => Fifo_full(4), 
340South => south_2_north(4)(4), East => east_2_west(4)(4) , Grant => Signal_grant(4)(4));
341
342Arbiter_4_5 : Arbiter
343
344PORT MAP (Request => Request(77), North => south_2_north(3)(5), West => east_2_west(3)(4), P => Signal_priority(14), Fifo_full => Fifo_full(5), 
345South => south_2_north(4)(5), East => east_2_west(4)(5) , Grant => Signal_grant(4)(5));
346
347Arbiter_4_6 : Arbiter
348
349PORT MAP (Request => Request(69), North => south_2_north(3)(6), West => east_2_west(3)(5), P => Signal_priority(14), Fifo_full => Fifo_full(6), 
350South => south_2_north(4)(6), East => east_2_west(4)(6) , Grant => Signal_grant(4)(6));
351
352Arbiter_4_7 : Arbiter
353
354PORT MAP (Request => Request(61), North => south_2_north(3)(7), West => east_2_west(3)(6), P => Signal_priority(14), Fifo_full => Fifo_full(7), 
355South => south_2_north(4)(7), East => east_2_west(4)(7) , Grant => Signal_grant(4)(7));
356
357Arbiter_4_8 : Arbiter
358
359PORT MAP (Request => Request(53), North => south_2_north(3)(8), West => east_2_west(3)(7), P => Signal_priority(14), Fifo_full => Fifo_full(8), 
360South => south_2_north(4)(8), East => east_2_west(4)(8) , Grant => Signal_grant(4)(8));
361
362Arbiter_4_9 : Arbiter
363
364PORT MAP (Request => Request(45), North => south_2_north(3)(9), West => east_2_west(3)(8), P => Signal_priority(14), Fifo_full => Fifo_full(9), 
365South => south_2_north(4)(9), East => east_2_west(4)(9) , Grant => Signal_grant(4)(9));
366
367-------------------------- Diagonale n° 5
368
369
370Arbiter_5_1 : Arbiter
371
372PORT MAP (Request => Request(37), North => south_2_north(4)(1), West => east_2_west(4)(9), P => Signal_priority(13), Fifo_full => Fifo_full(1), 
373South => south_2_north(5)(1), East => east_2_west(5)(1) , Grant => Signal_grant(5)(1));
374
375Arbiter_5_2 : Arbiter
376
377PORT MAP (Request => Request(29), North => south_2_north(4)(2), West => east_2_west(4)(1), P => Signal_priority(13), Fifo_full => Fifo_full(2), 
378South => south_2_north(5)(2), East => east_2_west(5)(2) , Grant => Signal_grant(5)(2));
379
380Arbiter_5_3 : Arbiter
381
382PORT MAP (Request => Request(21), North => south_2_north(4)(3), West => east_2_west(4)(2), P => Signal_priority(13), Fifo_full => Fifo_full(3), 
383South => south_2_north(5)(3), East => east_2_west(5)(3) , Grant => Signal_grant(5)(3));
384
385Arbiter_5_4 : Arbiter
386
387PORT MAP (Request => Request(13), North => south_2_north(4)(4), West => east_2_west(4)(3), P => Signal_priority(13), Fifo_full => Fifo_full(4), 
388South => south_2_north(5)(4), East => east_2_west(5)(4) , Grant => Signal_grant(5)(4));
389
390Arbiter_5_5 : Arbiter
391
392PORT MAP (Request => Request(5), North => south_2_north(4)(5), West => east_2_west(4)(4), P => Signal_priority(13), Fifo_full => Fifo_full(5), 
393South => south_2_north(5)(5), East => east_2_west(5)(5) , Grant => Signal_grant(5)(5));
394
395Arbiter_5_6 : Arbiter
396
397PORT MAP (Request => Request(78), North => south_2_north(4)(6), West => east_2_west(4)(5), P => Signal_priority(13), Fifo_full => Fifo_full(6), 
398South => south_2_north(5)(6), East => east_2_west(5)(6) , Grant => Signal_grant(5)(6));
399
400Arbiter_5_7 : Arbiter
401
402PORT MAP (Request => Request(70), North => south_2_north(4)(7), West => east_2_west(4)(6), P => Signal_priority(13), Fifo_full => Fifo_full(7), 
403South => south_2_north(5)(7), East => east_2_west(5)(7) , Grant => Signal_grant(5)(7));
404
405Arbiter_5_8 : Arbiter
406
407PORT MAP (Request => Request(62), North => south_2_north(4)(8), West => east_2_west(4)(7), P => Signal_priority(13), Fifo_full => Fifo_full(8), 
408South => south_2_north(5)(8), East => east_2_west(5)(8) , Grant => Signal_grant(5)(8));
409
410Arbiter_5_9 : Arbiter
411
412PORT MAP (Request => Request(54), North => south_2_north(4)(9), West => east_2_west(4)(8), P => Signal_priority(13), Fifo_full => Fifo_full(9), 
413South => south_2_north(5)(9), East => east_2_west(5)(9) , Grant => Signal_grant(5)(9));
414
415-------------------------- Diagonale n° 6
416
417
418Arbiter_6_1 : Arbiter
419
420PORT MAP (Request => Request(46), North => south_2_north(5)(1), West => east_2_west(5)(9), P => Signal_priority(12), Fifo_full => Fifo_full(1), 
421South => south_2_north(6)(1), East => east_2_west(6)(1) , Grant => Signal_grant(6)(1));
422
423Arbiter_6_2 : Arbiter
424
425PORT MAP (Request => Request(38), North => south_2_north(5)(2), West => east_2_west(5)(1), P => Signal_priority(12), Fifo_full => Fifo_full(2), 
426South => south_2_north(6)(2), East => east_2_west(6)(2) , Grant => Signal_grant(6)(2));
427
428Arbiter_6_3 : Arbiter
429
430PORT MAP (Request => Request(30), North => south_2_north(5)(3), West => east_2_west(5)(2), P => Signal_priority(12), Fifo_full => Fifo_full(3), 
431South => south_2_north(6)(3), East => east_2_west(6)(3) , Grant => Signal_grant(6)(3));
432
433Arbiter_6_4 : Arbiter
434
435PORT MAP (Request => Request(22), North => south_2_north(5)(4), West => east_2_west(5)(3), P => Signal_priority(12), Fifo_full => Fifo_full(4), 
436South => south_2_north(6)(4), East => east_2_west(6)(4) , Grant => Signal_grant(6)(4));
437
438Arbiter_6_5 : Arbiter
439
440PORT MAP (Request => Request(14), North => south_2_north(5)(5), West => east_2_west(5)(4), P => Signal_priority(12), Fifo_full => Fifo_full(5), 
441South => south_2_north(6)(5), East => east_2_west(6)(5) , Grant => Signal_grant(6)(5));
442
443Arbiter_6_6 : Arbiter
444
445PORT MAP (Request => Request(6), North => south_2_north(5)(6), West => east_2_west(5)(5), P => Signal_priority(12), Fifo_full => Fifo_full(6), 
446South => south_2_north(6)(6), East => east_2_west(6)(6) , Grant => Signal_grant(6)(6));
447
448Arbiter_6_7 : Arbiter
449
450PORT MAP (Request => Request(79), North => south_2_north(5)(7), West => east_2_west(5)(6), P => Signal_priority(12), Fifo_full => Fifo_full(7), 
451South => south_2_north(6)(7), East => east_2_west(6)(7) , Grant => Signal_grant(6)(7));
452
453Arbiter_6_8 : Arbiter
454
455PORT MAP (Request => Request(71), North => south_2_north(5)(8), West => east_2_west(5)(7), P => Signal_priority(12), Fifo_full => Fifo_full(8), 
456South => south_2_north(6)(8), East => east_2_west(6)(8) , Grant => Signal_grant(6)(8));
457
458Arbiter_6_9 : Arbiter
459
460PORT MAP (Request => Request(63), North => south_2_north(5)(9), West => east_2_west(5)(8), P => Signal_priority(12), Fifo_full => Fifo_full(9), 
461South => south_2_north(6)(9), East => east_2_west(6)(9) , Grant => Signal_grant(6)(9));
462
463-------------------------- Diagonale n° 7
464
465
466Arbiter_7_1 : Arbiter
467
468PORT MAP (Request => Request(55), North => south_2_north(6)(1), West => east_2_west(6)(9), P => Signal_priority(11), Fifo_full => Fifo_full(1), 
469South => south_2_north(7)(1), East => east_2_west(7)(1) , Grant => Signal_grant(7)(1));
470
471Arbiter_7_2 : Arbiter
472
473PORT MAP (Request => Request(47), North => south_2_north(6)(2), West => east_2_west(6)(1), P => Signal_priority(11), Fifo_full => Fifo_full(2), 
474South => south_2_north(7)(2), East => east_2_west(7)(2) , Grant => Signal_grant(7)(2));
475
476Arbiter_7_3 : Arbiter
477
478PORT MAP (Request => Request(39), North => south_2_north(6)(3), West => east_2_west(6)(2), P => Signal_priority(11), Fifo_full => Fifo_full(3), 
479South => south_2_north(7)(3), East => east_2_west(7)(3) , Grant => Signal_grant(7)(3));
480
481Arbiter_7_4 : Arbiter
482
483PORT MAP (Request => Request(31), North => south_2_north(6)(4), West => east_2_west(6)(3), P => Signal_priority(11), Fifo_full => Fifo_full(4), 
484South => south_2_north(7)(4), East => east_2_west(7)(4) , Grant => Signal_grant(7)(4));
485
486Arbiter_7_5 : Arbiter
487
488PORT MAP (Request => Request(23), North => south_2_north(6)(5), West => east_2_west(6)(4), P => Signal_priority(11), Fifo_full => Fifo_full(5), 
489South => south_2_north(7)(5), East => east_2_west(7)(5) , Grant => Signal_grant(7)(5));
490
491Arbiter_7_6 : Arbiter
492
493PORT MAP (Request => Request(15), North => south_2_north(6)(6), West => east_2_west(6)(5), P => Signal_priority(11), Fifo_full => Fifo_full(6), 
494South => south_2_north(7)(6), East => east_2_west(7)(6) , Grant => Signal_grant(7)(6));
495
496Arbiter_7_7 : Arbiter
497
498PORT MAP (Request => Request(7), North => south_2_north(6)(7), West => east_2_west(6)(6), P => Signal_priority(11), Fifo_full => Fifo_full(7), 
499South => south_2_north(7)(7), East => east_2_west(7)(7) , Grant => Signal_grant(7)(7));
500
501Arbiter_7_8 : Arbiter
502
503PORT MAP (Request => Request(80), North => south_2_north(6)(8), West => east_2_west(6)(7), P => Signal_priority(11), Fifo_full => Fifo_full(8), 
504South => south_2_north(7)(8), East => east_2_west(7)(8) , Grant => Signal_grant(7)(8));
505
506Arbiter_7_9 : Arbiter
507
508PORT MAP (Request => Request(72), North => south_2_north(6)(9), West => east_2_west(6)(8), P => Signal_priority(11), Fifo_full => Fifo_full(9), 
509South => south_2_north(7)(9), East => east_2_west(7)(9) , Grant => Signal_grant(7)(9));
510
511-------------------------- Diagonale n° 8
512
513
514Arbiter_8_1 : Arbiter
515
516PORT MAP (Request => Request(64), North => south_2_north(7)(1), West => east_2_west(7)(9), P => Signal_priority(10), Fifo_full => Fifo_full(1), 
517South => south_2_north(8)(1), East => east_2_west(8)(1) , Grant => Signal_grant(8)(1));
518
519Arbiter_8_2 : Arbiter
520
521PORT MAP (Request => Request(56), North => south_2_north(7)(2), West => east_2_west(7)(1), P => Signal_priority(10), Fifo_full => Fifo_full(2), 
522South => south_2_north(8)(2), East => east_2_west(8)(2) , Grant => Signal_grant(8)(2));
523
524Arbiter_8_3 : Arbiter
525
526PORT MAP (Request => Request(48), North => south_2_north(7)(3), West => east_2_west(7)(2), P => Signal_priority(10), Fifo_full => Fifo_full(3), 
527South => south_2_north(8)(3), East => east_2_west(8)(3) , Grant => Signal_grant(8)(3));
528
529Arbiter_8_4 : Arbiter
530
531PORT MAP (Request => Request(40), North => south_2_north(7)(4), West => east_2_west(7)(3), P => Signal_priority(10), Fifo_full => Fifo_full(4), 
532South => south_2_north(8)(4), East => east_2_west(8)(4) , Grant => Signal_grant(8)(4));
533
534Arbiter_8_5 : Arbiter
535
536PORT MAP (Request => Request(32), North => south_2_north(7)(5), West => east_2_west(7)(4), P => Signal_priority(10), Fifo_full => Fifo_full(5), 
537South => south_2_north(8)(5), East => east_2_west(8)(5) , Grant => Signal_grant(8)(5));
538
539Arbiter_8_6 : Arbiter
540
541PORT MAP (Request => Request(24), North => south_2_north(7)(6), West => east_2_west(7)(5), P => Signal_priority(10), Fifo_full => Fifo_full(6), 
542South => south_2_north(8)(6), East => east_2_west(8)(6) , Grant => Signal_grant(8)(6));
543
544Arbiter_8_7 : Arbiter
545
546PORT MAP (Request => Request(16), North => south_2_north(7)(7), West => east_2_west(7)(6), P => Signal_priority(10), Fifo_full => Fifo_full(7), 
547South => south_2_north(8)(7), East => east_2_west(8)(7) , Grant => Signal_grant(8)(7));
548
549Arbiter_8_8 : Arbiter
550
551PORT MAP (Request => Request(8), North => south_2_north(7)(8), West => east_2_west(7)(7), P => Signal_priority(10), Fifo_full => Fifo_full(8), 
552South => south_2_north(8)(8), East => east_2_west(8)(8) , Grant => Signal_grant(8)(8));
553
554Arbiter_8_9 : Arbiter
555
556PORT MAP (Request => Request(81), North => south_2_north(7)(9), West => east_2_west(7)(8), P => Signal_priority(10), Fifo_full => Fifo_full(9), 
557South => south_2_north(8)(9), East => east_2_west(8)(9) , Grant => Signal_grant(8)(9));
558
559-------------------------- Diagonale n° 9
560
561
562Arbiter_9_1 : Arbiter
563
564PORT MAP (Request => Request(73), North => south_2_north(8)(1), West => east_2_west(8)(9), P => Signal_priority(9), Fifo_full => Fifo_full(1), 
565South => south_2_north(9)(1), East => east_2_west(9)(1) , Grant => Signal_grant(9)(1));
566
567Arbiter_9_2 : Arbiter
568
569PORT MAP (Request => Request(65), North => south_2_north(8)(2), West => east_2_west(8)(1), P => Signal_priority(9), Fifo_full => Fifo_full(2), 
570South => south_2_north(9)(2), East => east_2_west(9)(2) , Grant => Signal_grant(9)(2));
571
572Arbiter_9_3 : Arbiter
573
574PORT MAP (Request => Request(57), North => south_2_north(8)(3), West => east_2_west(8)(2), P => Signal_priority(9), Fifo_full => Fifo_full(3), 
575South => south_2_north(9)(3), East => east_2_west(9)(3) , Grant => Signal_grant(9)(3));
576
577Arbiter_9_4 : Arbiter
578
579PORT MAP (Request => Request(49), North => south_2_north(8)(4), West => east_2_west(8)(3), P => Signal_priority(9), Fifo_full => Fifo_full(4), 
580South => south_2_north(9)(4), East => east_2_west(9)(4) , Grant => Signal_grant(9)(4));
581
582Arbiter_9_5 : Arbiter
583
584PORT MAP (Request => Request(41), North => south_2_north(8)(5), West => east_2_west(8)(4), P => Signal_priority(9), Fifo_full => Fifo_full(5), 
585South => south_2_north(9)(5), East => east_2_west(9)(5) , Grant => Signal_grant(9)(5));
586
587Arbiter_9_6 : Arbiter
588
589PORT MAP (Request => Request(33), North => south_2_north(8)(6), West => east_2_west(8)(5), P => Signal_priority(9), Fifo_full => Fifo_full(6), 
590South => south_2_north(9)(6), East => east_2_west(9)(6) , Grant => Signal_grant(9)(6));
591
592Arbiter_9_7 : Arbiter
593
594PORT MAP (Request => Request(25), North => south_2_north(8)(7), West => east_2_west(8)(6), P => Signal_priority(9), Fifo_full => Fifo_full(7), 
595South => south_2_north(9)(7), East => east_2_west(9)(7) , Grant => Signal_grant(9)(7));
596
597Arbiter_9_8 : Arbiter
598
599PORT MAP (Request => Request(17), North => south_2_north(8)(8), West => east_2_west(8)(7), P => Signal_priority(9), Fifo_full => Fifo_full(8), 
600South => south_2_north(9)(8), East => east_2_west(9)(8) , Grant => Signal_grant(9)(8));
601
602Arbiter_9_9 : Arbiter
603
604PORT MAP (Request => Request(9), North => south_2_north(8)(9), West => east_2_west(8)(8), P => Signal_priority(9), Fifo_full => Fifo_full(9), 
605South => south_2_north(9)(9), East => east_2_west(9)(9) , Grant => Signal_grant(9)(9));
606
607-------------------------- Diagonale n° 10
608
609
610Arbiter_10_1 : Arbiter
611
612PORT MAP (Request => Request(1), North => south_2_north(9)(1), West => east_2_west(9)(9), P => Signal_priority(8), Fifo_full => Fifo_full(1), 
613South => south_2_north(10)(1), East => east_2_west(10)(1) , Grant => Signal_grant(10)(1));
614
615Arbiter_10_2 : Arbiter
616
617PORT MAP (Request => Request(74), North => south_2_north(9)(2), West => east_2_west(9)(1), P => Signal_priority(8), Fifo_full => Fifo_full(2), 
618South => south_2_north(10)(2), East => east_2_west(10)(2) , Grant => Signal_grant(10)(2));
619
620Arbiter_10_3 : Arbiter
621
622PORT MAP (Request => Request(66), North => south_2_north(9)(3), West => east_2_west(9)(2), P => Signal_priority(8), Fifo_full => Fifo_full(3), 
623South => south_2_north(10)(3), East => east_2_west(10)(3) , Grant => Signal_grant(10)(3));
624
625Arbiter_10_4 : Arbiter
626
627PORT MAP (Request => Request(58), North => south_2_north(9)(4), West => east_2_west(9)(3), P => Signal_priority(8), Fifo_full => Fifo_full(4), 
628South => south_2_north(10)(4), East => east_2_west(10)(4) , Grant => Signal_grant(10)(4));
629
630Arbiter_10_5 : Arbiter
631
632PORT MAP (Request => Request(50), North => south_2_north(9)(5), West => east_2_west(9)(4), P => Signal_priority(8), Fifo_full => Fifo_full(5), 
633South => south_2_north(10)(5), East => east_2_west(10)(5) , Grant => Signal_grant(10)(5));
634
635Arbiter_10_6 : Arbiter
636
637PORT MAP (Request => Request(42), North => south_2_north(9)(6), West => east_2_west(9)(5), P => Signal_priority(8), Fifo_full => Fifo_full(6), 
638South => south_2_north(10)(6), East => east_2_west(10)(6) , Grant => Signal_grant(10)(6));
639
640Arbiter_10_7 : Arbiter
641
642PORT MAP (Request => Request(34), North => south_2_north(9)(7), West => east_2_west(9)(6), P => Signal_priority(8), Fifo_full => Fifo_full(7), 
643South => south_2_north(10)(7), East => east_2_west(10)(7) , Grant => Signal_grant(10)(7));
644
645Arbiter_10_8 : Arbiter
646
647PORT MAP (Request => Request(26), North => south_2_north(9)(8), West => east_2_west(9)(7), P => Signal_priority(8), Fifo_full => Fifo_full(8), 
648South => south_2_north(10)(8), East => east_2_west(10)(8) , Grant => Signal_grant(10)(8));
649
650Arbiter_10_9 : Arbiter
651
652PORT MAP (Request => Request(18), North => south_2_north(9)(9), West => east_2_west(9)(8), P => Signal_priority(8), Fifo_full => Fifo_full(9), 
653South => south_2_north(10)(9), East => east_2_west(10)(9) , Grant => Signal_grant(10)(9));
654
655-------------------------- Diagonale n° 11
656
657
658Arbiter_11_1 : Arbiter
659
660PORT MAP (Request => Request(10), North => south_2_north(10)(1), West => east_2_west(10)(9), P => Signal_priority(7), Fifo_full => Fifo_full(1), 
661South => south_2_north(11)(1), East => east_2_west(11)(1) , Grant => Signal_grant(11)(1));
662
663Arbiter_11_2 : Arbiter
664
665PORT MAP (Request => Request(2), North => south_2_north(10)(2), West => east_2_west(10)(1), P => Signal_priority(7), Fifo_full => Fifo_full(2), 
666South => south_2_north(11)(2), East => east_2_west(11)(2) , Grant => Signal_grant(11)(2));
667
668Arbiter_11_3 : Arbiter
669
670PORT MAP (Request => Request(75), North => south_2_north(10)(3), West => east_2_west(10)(2), P => Signal_priority(7), Fifo_full => Fifo_full(3), 
671South => south_2_north(11)(3), East => east_2_west(11)(3) , Grant => Signal_grant(11)(3));
672
673Arbiter_11_4 : Arbiter
674
675PORT MAP (Request => Request(67), North => south_2_north(10)(4), West => east_2_west(10)(3), P => Signal_priority(7), Fifo_full => Fifo_full(4), 
676South => south_2_north(11)(4), East => east_2_west(11)(4) , Grant => Signal_grant(11)(4));
677
678Arbiter_11_5 : Arbiter
679
680PORT MAP (Request => Request(59), North => south_2_north(10)(5), West => east_2_west(10)(4), P => Signal_priority(7), Fifo_full => Fifo_full(5), 
681South => south_2_north(11)(5), East => east_2_west(11)(5) , Grant => Signal_grant(11)(5));
682
683Arbiter_11_6 : Arbiter
684
685PORT MAP (Request => Request(51), North => south_2_north(10)(6), West => east_2_west(10)(5), P => Signal_priority(7), Fifo_full => Fifo_full(6), 
686South => south_2_north(11)(6), East => east_2_west(11)(6) , Grant => Signal_grant(11)(6));
687
688Arbiter_11_7 : Arbiter
689
690PORT MAP (Request => Request(43), North => south_2_north(10)(7), West => east_2_west(10)(6), P => Signal_priority(7), Fifo_full => Fifo_full(7), 
691South => south_2_north(11)(7), East => east_2_west(11)(7) , Grant => Signal_grant(11)(7));
692
693Arbiter_11_8 : Arbiter
694
695PORT MAP (Request => Request(35), North => south_2_north(10)(8), West => east_2_west(10)(7), P => Signal_priority(7), Fifo_full => Fifo_full(8), 
696South => south_2_north(11)(8), East => east_2_west(11)(8) , Grant => Signal_grant(11)(8));
697
698Arbiter_11_9 : Arbiter
699
700PORT MAP (Request => Request(27), North => south_2_north(10)(9), West => east_2_west(10)(8), P => Signal_priority(7), Fifo_full => Fifo_full(9), 
701South => south_2_north(11)(9), East => east_2_west(11)(9) , Grant => Signal_grant(11)(9));
702
703-------------------------- Diagonale n° 12
704
705
706Arbiter_12_1 : Arbiter
707
708PORT MAP (Request => Request(19), North => south_2_north(11)(1), West => east_2_west(11)(9), P => Signal_priority(6), Fifo_full => Fifo_full(1), 
709South => south_2_north(12)(1), East => east_2_west(12)(1) , Grant => Signal_grant(12)(1));
710
711Arbiter_12_2 : Arbiter
712
713PORT MAP (Request => Request(11), North => south_2_north(11)(2), West => east_2_west(11)(1), P => Signal_priority(6), Fifo_full => Fifo_full(2), 
714South => south_2_north(12)(2), East => east_2_west(12)(2) , Grant => Signal_grant(12)(2));
715
716Arbiter_12_3 : Arbiter
717
718PORT MAP (Request => Request(3), North => south_2_north(11)(3), West => east_2_west(11)(2), P => Signal_priority(6), Fifo_full => Fifo_full(3), 
719South => south_2_north(12)(3), East => east_2_west(12)(3) , Grant => Signal_grant(12)(3));
720
721Arbiter_12_4 : Arbiter
722
723PORT MAP (Request => Request(76), North => south_2_north(11)(4), West => east_2_west(11)(3), P => Signal_priority(6), Fifo_full => Fifo_full(4), 
724South => south_2_north(12)(4), East => east_2_west(12)(4) , Grant => Signal_grant(12)(4));
725
726Arbiter_12_5 : Arbiter
727
728PORT MAP (Request => Request(68), North => south_2_north(11)(5), West => east_2_west(11)(4), P => Signal_priority(6), Fifo_full => Fifo_full(5), 
729South => south_2_north(12)(5), East => east_2_west(12)(5) , Grant => Signal_grant(12)(5));
730
731Arbiter_12_6 : Arbiter
732
733PORT MAP (Request => Request(60), North => south_2_north(11)(6), West => east_2_west(11)(5), P => Signal_priority(6), Fifo_full => Fifo_full(6), 
734South => south_2_north(12)(6), East => east_2_west(12)(6) , Grant => Signal_grant(12)(6));
735
736Arbiter_12_7 : Arbiter
737
738PORT MAP (Request => Request(52), North => south_2_north(11)(7), West => east_2_west(11)(6), P => Signal_priority(6), Fifo_full => Fifo_full(7), 
739South => south_2_north(12)(7), East => east_2_west(12)(7) , Grant => Signal_grant(12)(7));
740
741Arbiter_12_8 : Arbiter
742
743PORT MAP (Request => Request(44), North => south_2_north(11)(8), West => east_2_west(11)(7), P => Signal_priority(6), Fifo_full => Fifo_full(8), 
744South => south_2_north(12)(8), East => east_2_west(12)(8) , Grant => Signal_grant(12)(8));
745
746Arbiter_12_9 : Arbiter
747
748PORT MAP (Request => Request(36), North => south_2_north(11)(9), West => east_2_west(11)(8), P => Signal_priority(6), Fifo_full => Fifo_full(9), 
749South => south_2_north(12)(9), East => east_2_west(12)(9) , Grant => Signal_grant(12)(9));
750
751-------------------------- Diagonale n° 13
752
753
754Arbiter_13_1 : Arbiter
755
756PORT MAP (Request => Request(28), North => south_2_north(12)(1), West => east_2_west(12)(9), P => Signal_priority(5), Fifo_full => Fifo_full(1), 
757South => south_2_north(13)(1), East => east_2_west(13)(1) , Grant => Signal_grant(13)(1));
758
759Arbiter_13_2 : Arbiter
760
761PORT MAP (Request => Request(20), North => south_2_north(12)(2), West => east_2_west(12)(1), P => Signal_priority(5), Fifo_full => Fifo_full(2), 
762South => south_2_north(13)(2), East => east_2_west(13)(2) , Grant => Signal_grant(13)(2));
763
764Arbiter_13_3 : Arbiter
765
766PORT MAP (Request => Request(12), North => south_2_north(12)(3), West => east_2_west(12)(2), P => Signal_priority(5), Fifo_full => Fifo_full(3), 
767South => south_2_north(13)(3), East => east_2_west(13)(3) , Grant => Signal_grant(13)(3));
768
769Arbiter_13_4 : Arbiter
770
771PORT MAP (Request => Request(4), North => south_2_north(12)(4), West => east_2_west(12)(3), P => Signal_priority(5), Fifo_full => Fifo_full(4), 
772South => south_2_north(13)(4), East => east_2_west(13)(4) , Grant => Signal_grant(13)(4));
773
774Arbiter_13_5 : Arbiter
775
776PORT MAP (Request => Request(77), North => south_2_north(12)(5), West => east_2_west(12)(4), P => Signal_priority(5), Fifo_full => Fifo_full(5), 
777South => south_2_north(13)(5), East => east_2_west(13)(5) , Grant => Signal_grant(13)(5));
778
779Arbiter_13_6 : Arbiter
780
781PORT MAP (Request => Request(69), North => south_2_north(12)(6), West => east_2_west(12)(5), P => Signal_priority(5), Fifo_full => Fifo_full(6), 
782South => south_2_north(13)(6), East => east_2_west(13)(6) , Grant => Signal_grant(13)(6));
783
784Arbiter_13_7 : Arbiter
785
786PORT MAP (Request => Request(61), North => south_2_north(12)(7), West => east_2_west(12)(6), P => Signal_priority(5), Fifo_full => Fifo_full(7), 
787South => south_2_north(13)(7), East => east_2_west(13)(7) , Grant => Signal_grant(13)(7));
788
789Arbiter_13_8 : Arbiter
790
791PORT MAP (Request => Request(53), North => south_2_north(12)(8), West => east_2_west(12)(7), P => Signal_priority(5), Fifo_full => Fifo_full(8), 
792South => south_2_north(13)(8), East => east_2_west(13)(8) , Grant => Signal_grant(13)(8));
793
794Arbiter_13_9 : Arbiter
795
796PORT MAP (Request => Request(45), North => south_2_north(12)(9), West => east_2_west(12)(8), P => Signal_priority(5), Fifo_full => Fifo_full(9), 
797South => south_2_north(13)(9), East => east_2_west(13)(9) , Grant => Signal_grant(13)(9));
798
799-------------------------- Diagonale n° 14
800
801
802Arbiter_14_1 : Arbiter
803
804PORT MAP (Request => Request(37), North => south_2_north(13)(1), West => east_2_west(13)(9), P => Signal_priority(4), Fifo_full => Fifo_full(1), 
805South => south_2_north(14)(1), East => east_2_west(14)(1) , Grant => Signal_grant(14)(1));
806
807Arbiter_14_2 : Arbiter
808
809PORT MAP (Request => Request(29), North => south_2_north(13)(2), West => east_2_west(13)(1), P => Signal_priority(4), Fifo_full => Fifo_full(2), 
810South => south_2_north(14)(2), East => east_2_west(14)(2) , Grant => Signal_grant(14)(2));
811
812Arbiter_14_3 : Arbiter
813
814PORT MAP (Request => Request(21), North => south_2_north(13)(3), West => east_2_west(13)(2), P => Signal_priority(4), Fifo_full => Fifo_full(3), 
815South => south_2_north(14)(3), East => east_2_west(14)(3) , Grant => Signal_grant(14)(3));
816
817Arbiter_14_4 : Arbiter
818
819PORT MAP (Request => Request(13), North => south_2_north(13)(4), West => east_2_west(13)(3), P => Signal_priority(4), Fifo_full => Fifo_full(4), 
820South => south_2_north(14)(4), East => east_2_west(14)(4) , Grant => Signal_grant(14)(4));
821
822Arbiter_14_5 : Arbiter
823
824PORT MAP (Request => Request(5), North => south_2_north(13)(5), West => east_2_west(13)(4), P => Signal_priority(4), Fifo_full => Fifo_full(5), 
825South => south_2_north(14)(5), East => east_2_west(14)(5) , Grant => Signal_grant(14)(5));
826
827Arbiter_14_6 : Arbiter
828
829PORT MAP (Request => Request(78), North => south_2_north(13)(6), West => east_2_west(13)(5), P => Signal_priority(4), Fifo_full => Fifo_full(6), 
830South => south_2_north(14)(6), East => east_2_west(14)(6) , Grant => Signal_grant(14)(6));
831
832Arbiter_14_7 : Arbiter
833
834PORT MAP (Request => Request(70), North => south_2_north(13)(7), West => east_2_west(13)(6), P => Signal_priority(4), Fifo_full => Fifo_full(7), 
835South => south_2_north(14)(7), East => east_2_west(14)(7) , Grant => Signal_grant(14)(7));
836
837Arbiter_14_8 : Arbiter
838
839PORT MAP (Request => Request(62), North => south_2_north(13)(8), West => east_2_west(13)(7), P => Signal_priority(4), Fifo_full => Fifo_full(8), 
840South => south_2_north(14)(8), East => east_2_west(14)(8) , Grant => Signal_grant(14)(8));
841
842Arbiter_14_9 : Arbiter
843
844PORT MAP (Request => Request(54), North => south_2_north(13)(9), West => east_2_west(13)(8), P => Signal_priority(4), Fifo_full => Fifo_full(9), 
845South => south_2_north(14)(9), East => east_2_west(14)(9) , Grant => Signal_grant(14)(9));
846
847-------------------------- Diagonale n° 15
848
849
850Arbiter_15_1 : Arbiter
851
852PORT MAP (Request => Request(46), North => south_2_north(14)(1), West => east_2_west(14)(9), P => Signal_priority(3), Fifo_full => Fifo_full(1), 
853South => south_2_north(15)(1), East => east_2_west(15)(1) , Grant => Signal_grant(15)(1));
854
855Arbiter_15_2 : Arbiter
856
857PORT MAP (Request => Request(38), North => south_2_north(14)(2), West => east_2_west(14)(1), P => Signal_priority(3), Fifo_full => Fifo_full(2), 
858South => south_2_north(15)(2), East => east_2_west(15)(2) , Grant => Signal_grant(15)(2));
859
860Arbiter_15_3 : Arbiter
861
862PORT MAP (Request => Request(30), North => south_2_north(14)(3), West => east_2_west(14)(2), P => Signal_priority(3), Fifo_full => Fifo_full(3), 
863South => south_2_north(15)(3), East => east_2_west(15)(3) , Grant => Signal_grant(15)(3));
864
865Arbiter_15_4 : Arbiter
866
867PORT MAP (Request => Request(22), North => south_2_north(14)(4), West => east_2_west(14)(3), P => Signal_priority(3), Fifo_full => Fifo_full(4), 
868South => south_2_north(15)(4), East => east_2_west(15)(4) , Grant => Signal_grant(15)(4));
869
870Arbiter_15_5 : Arbiter
871
872PORT MAP (Request => Request(14), North => south_2_north(14)(5), West => east_2_west(14)(4), P => Signal_priority(3), Fifo_full => Fifo_full(5), 
873South => south_2_north(15)(5), East => east_2_west(15)(5) , Grant => Signal_grant(15)(5));
874
875Arbiter_15_6 : Arbiter
876
877PORT MAP (Request => Request(6), North => south_2_north(14)(6), West => east_2_west(14)(5), P => Signal_priority(3), Fifo_full => Fifo_full(6), 
878South => south_2_north(15)(6), East => east_2_west(15)(6) , Grant => Signal_grant(15)(6));
879
880Arbiter_15_7 : Arbiter
881
882PORT MAP (Request => Request(79), North => south_2_north(14)(7), West => east_2_west(14)(6), P => Signal_priority(3), Fifo_full => Fifo_full(7), 
883South => south_2_north(15)(7), East => east_2_west(15)(7) , Grant => Signal_grant(15)(7));
884
885Arbiter_15_8 : Arbiter
886
887PORT MAP (Request => Request(71), North => south_2_north(14)(8), West => east_2_west(14)(7), P => Signal_priority(3), Fifo_full => Fifo_full(8), 
888South => south_2_north(15)(8), East => east_2_west(15)(8) , Grant => Signal_grant(15)(8));
889
890Arbiter_15_9 : Arbiter
891
892PORT MAP (Request => Request(63), North => south_2_north(14)(9), West => east_2_west(14)(8), P => Signal_priority(3), Fifo_full => Fifo_full(9), 
893South => south_2_north(15)(9), East => east_2_west(15)(9) , Grant => Signal_grant(15)(9));
894
895-------------------------- Diagonale n° 16
896
897
898Arbiter_16_1 : Arbiter
899
900PORT MAP (Request => Request(55), North => south_2_north(15)(1), West => east_2_west(15)(9), P => Signal_priority(2), Fifo_full => Fifo_full(1), 
901South => south_2_north(16)(1), East => east_2_west(16)(1) , Grant => Signal_grant(16)(1));
902
903Arbiter_16_2 : Arbiter
904
905PORT MAP (Request => Request(47), North => south_2_north(15)(2), West => east_2_west(15)(1), P => Signal_priority(2), Fifo_full => Fifo_full(2), 
906South => south_2_north(16)(2), East => east_2_west(16)(2) , Grant => Signal_grant(16)(2));
907
908Arbiter_16_3 : Arbiter
909
910PORT MAP (Request => Request(39), North => south_2_north(15)(3), West => east_2_west(15)(2), P => Signal_priority(2), Fifo_full => Fifo_full(3), 
911South => south_2_north(16)(3), East => east_2_west(16)(3) , Grant => Signal_grant(16)(3));
912
913Arbiter_16_4 : Arbiter
914
915PORT MAP (Request => Request(31), North => south_2_north(15)(4), West => east_2_west(15)(3), P => Signal_priority(2), Fifo_full => Fifo_full(4), 
916South => south_2_north(16)(4), East => east_2_west(16)(4) , Grant => Signal_grant(16)(4));
917
918Arbiter_16_5 : Arbiter
919
920PORT MAP (Request => Request(23), North => south_2_north(15)(5), West => east_2_west(15)(4), P => Signal_priority(2), Fifo_full => Fifo_full(5), 
921South => south_2_north(16)(5), East => east_2_west(16)(5) , Grant => Signal_grant(16)(5));
922
923Arbiter_16_6 : Arbiter
924
925PORT MAP (Request => Request(15), North => south_2_north(15)(6), West => east_2_west(15)(5), P => Signal_priority(2), Fifo_full => Fifo_full(6), 
926South => south_2_north(16)(6), East => east_2_west(16)(6) , Grant => Signal_grant(16)(6));
927
928Arbiter_16_7 : Arbiter
929
930PORT MAP (Request => Request(7), North => south_2_north(15)(7), West => east_2_west(15)(6), P => Signal_priority(2), Fifo_full => Fifo_full(7), 
931South => south_2_north(16)(7), East => east_2_west(16)(7) , Grant => Signal_grant(16)(7));
932
933Arbiter_16_8 : Arbiter
934
935PORT MAP (Request => Request(80), North => south_2_north(15)(8), West => east_2_west(15)(7), P => Signal_priority(2), Fifo_full => Fifo_full(8), 
936South => south_2_north(16)(8), East => east_2_west(16)(8) , Grant => Signal_grant(16)(8));
937
938Arbiter_16_9 : Arbiter
939
940PORT MAP (Request => Request(72), North => south_2_north(15)(9), West => east_2_west(15)(8), P => Signal_priority(2), Fifo_full => Fifo_full(9), 
941South => south_2_north(16)(9), East => east_2_west(16)(9) , Grant => Signal_grant(16)(9));
942
943-------------------------- Diagonale n° 17
944
945
946Arbiter_17_1 : Arbiter
947
948PORT MAP (Request => Request(64), North => south_2_north(16)(1), West => east_2_west(16)(9), P => Signal_priority(1), Fifo_full => Fifo_full(1), 
949South => south_2_north(17)(1), East => east_2_west(17)(1) , Grant => Signal_grant(17)(1));
950
951Arbiter_17_2 : Arbiter
952
953PORT MAP (Request => Request(56), North => south_2_north(16)(2), West => east_2_west(16)(1), P => Signal_priority(1), Fifo_full => Fifo_full(2), 
954South => south_2_north(17)(2), East => east_2_west(17)(2) , Grant => Signal_grant(17)(2));
955
956Arbiter_17_3 : Arbiter
957
958PORT MAP (Request => Request(48), North => south_2_north(16)(3), West => east_2_west(16)(2), P => Signal_priority(1), Fifo_full => Fifo_full(3), 
959South => south_2_north(17)(3), East => east_2_west(17)(3) , Grant => Signal_grant(17)(3));
960
961Arbiter_17_4 : Arbiter
962
963PORT MAP (Request => Request(40), North => south_2_north(16)(4), West => east_2_west(16)(3), P => Signal_priority(1), Fifo_full => Fifo_full(4), 
964South => south_2_north(17)(4), East => east_2_west(17)(4) , Grant => Signal_grant(17)(4));
965
966Arbiter_17_5 : Arbiter
967
968PORT MAP (Request => Request(32), North => south_2_north(16)(5), West => east_2_west(16)(4), P => Signal_priority(1), Fifo_full => Fifo_full(5), 
969South => south_2_north(17)(5), East => east_2_west(17)(5) , Grant => Signal_grant(17)(5));
970
971Arbiter_17_6 : Arbiter
972
973PORT MAP (Request => Request(24), North => south_2_north(16)(6), West => east_2_west(16)(5), P => Signal_priority(1), Fifo_full => Fifo_full(6), 
974South => south_2_north(17)(6), East => east_2_west(17)(6) , Grant => Signal_grant(17)(6));
975
976Arbiter_17_7 : Arbiter
977
978PORT MAP (Request => Request(16), North => south_2_north(16)(7), West => east_2_west(16)(6), P => Signal_priority(1), Fifo_full => Fifo_full(7), 
979South => south_2_north(17)(7), East => east_2_west(17)(7) , Grant => Signal_grant(17)(7));
980
981Arbiter_17_8 : Arbiter
982
983PORT MAP (Request => Request(8), North => south_2_north(16)(8), West => east_2_west(16)(7), P => Signal_priority(1), Fifo_full => Fifo_full(8), 
984South => south_2_north(17)(8), East => east_2_west(17)(8) , Grant => Signal_grant(17)(8));
985
986Arbiter_17_9 : Arbiter
987
988PORT MAP (Request => Request(81), North => south_2_north(16)(9), West => east_2_west(16)(8), P => Signal_priority(1), Fifo_full => Fifo_full(9), 
989South => south_2_north(17)(9), East => east_2_west(17)(9) , Grant => Signal_grant(17)(9));
990
991
992--processus permettant de roter la priorité des diagonales à chaque front d'horloge
993 -- rotation round robin
994         round_robin : process(clk)
995        begin
996                if rising_edge(clk) then
997                 if reset ='1' then
998                    Signal_priority <= "11111111100000000";
999                  elsif priority_rotation_en = '1' then
1000                    case Signal_priority is
1001                       when "11111111100000000" => Signal_priority <= "01111111110000000";
1002                       when "01111111110000000" => Signal_priority <= "00111111111000000";
1003                       when "00111111111000000" => Signal_priority <= "00011111111100000";
1004                       when "00011111111100000" => Signal_priority <= "00001111111110000";
1005                       when "00001111111110000" => Signal_priority <= "00000111111111000";
1006                       when "00000111111111000" => Signal_priority <= "00000011111111100";
1007                       when "00000011111111100" => Signal_priority <= "00000001111111110";
1008                       when "00000001111111110" => Signal_priority <= "00000000111111111";
1009                       when "00000000111111111" => Signal_priority <= "11111111100000000";
1010                       when others    => Signal_priority <= "11111111100000000";
1011                  end case;
1012                 end if;
1013             end if;
1014         end process;
1015
1016end Behavioral;
1017
Note: See TracBrowser for help on using the repository browser.