Ignore:
Timestamp:
May 21, 2014, 11:36:19 AM (10 years ago)
Author:
rolagamo
Message:

Ceci est la version 16 bits de la plateforme ainsi que la version hierarchique du NoCNoC

Location:
PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0
Files:
1 edited
2 copied

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0/CORE_MPI/MultiMPITest.vhd

    r100 r139  
    3737port (clkm : in std_logic;
    3838reset : in std_logic;
    39 result : out std_logic_vector(Word-1 downto 0));
     39Led : out std_logic_vector(Word-1 downto 0));
    4040--simulation translate_on
    4141END MultiMPITest;
     
    8686signal MPI_Node_in : Ar_MPIPort_in(1 to PROC) ;
    8787signal MPI_Node_Out : Ar_MPIPort_out(1 to PROC);
    88 
     88signal result :std_logic_vector(Word-1 downto 0);
    8989
    9090
     
    179179--MPI_Node_in(4).reset<=reset; 
    180180--MPI_Node_in(4).clk<=clkm;
     181
    181182Result<=MPi_Node_out(1).PushOut;
     183
     184Led(7 downto 0)<=result(7 downto 0);
     185
    182186PE_s:for i in 1 to STATIC_HT generate
    183187S: PE   Generic map (DestId=>i-1)
Note: See TracChangeset for help on using the changeset viewer.