- Timestamp:
- May 21, 2014, 11:36:19 AM (10 years ago)
- Location:
- PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0
- Files:
-
- 1 edited
- 2 copied
Legend:
- Unmodified
- Added
- Removed
-
PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0/CORE_MPI/MultiMPITest.vhd
r100 r139 37 37 port (clkm : in std_logic; 38 38 reset : in std_logic; 39 result: out std_logic_vector(Word-1 downto 0));39 Led : out std_logic_vector(Word-1 downto 0)); 40 40 --simulation translate_on 41 41 END MultiMPITest; … … 86 86 signal MPI_Node_in : Ar_MPIPort_in(1 to PROC) ; 87 87 signal MPI_Node_Out : Ar_MPIPort_out(1 to PROC); 88 88 signal result :std_logic_vector(Word-1 downto 0); 89 89 90 90 … … 179 179 --MPI_Node_in(4).reset<=reset; 180 180 --MPI_Node_in(4).clk<=clkm; 181 181 182 Result<=MPi_Node_out(1).PushOut; 183 184 Led(7 downto 0)<=result(7 downto 0); 185 182 186 PE_s:for i in 1 to STATIC_HT generate 183 187 S: PE Generic map (DestId=>i-1)
Note: See TracChangeset
for help on using the changeset viewer.