Ignore:
Timestamp:
May 21, 2014, 11:36:19 AM (10 years ago)
Author:
rolagamo
Message:

Ceci est la version 16 bits de la plateforme ainsi que la version hierarchique du NoCNoC

Location:
PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0
Files:
1 edited
1 copied

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/MPI_HCL/BRANCHES/v2.0/mpi_test.vhd

    r105 r139  
    4545         port (clkm : in std_logic;
    4646                reset : in std_logic;
    47                 result : out std_logic_vector(7 downto 0));
     47                Led : out std_logic_vector(7 downto 0));
    4848     
    4949    END COMPONENT;
     
    6060                clkm=>clk,
    6161                reset=>reset,
    62                 result=>result
     62                led=>result
    6363        );
    6464
Note: See TracChangeset for help on using the changeset viewer.