Ignore:
Timestamp:
Dec 3, 2012, 2:57:30 PM (12 years ago)
Author:
rolagamo
Message:

Version stable pour les tests

File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.01/Packet_type.vhd

    r15 r35  
    1111
    1212package Packet_type is
     13        constant ADRLEN1                                                                        :natural:=16;
    1314 constant MPI_COMM_WORLD                                                        :natural:=1;
    1415 constant MPI_DEFAULT                                                           :natural:=0;
     
    3435 
    3536 
    36  constant MPI_WTIME
    37  constantMPI_WTICK
     37 constant MPI_WTIME                                                                     : std_logic_vector(3 downto 0) := "1110";
     38 constant MPI_WTICK                                                                     : std_logic_vector(3 downto 0) := "1111";
    3839 constant MPI_CONFIG                                                               : std_logic_vector(3 downto 0) := "1101";
    3940 --paramètres des commandes
     
    4647
    4748Type MPI_Datatype is (MPI_BYTE,MPI_INTEGER,MPI_REAL,MPI_PACKET, MPI_pointer);
    48 SUBType MPI_COMM is  natural range 0 to 2**ADRLEN-1 ; --pointeur sur la structure du communicateur
    49 subType Mpi_AInt is natural range 0 to 2**ADRLEN-1 ;
     49SUBType MPI_COMM is  natural range 0 to 2**ADRLEN1-1 ; --pointeur sur la structure du communicateur
     50subType Mpi_AInt is natural range 0 to 2**ADRLEN1-1 ;
    5051
    5152Type MPI_COMM_struc is record
Note: See TracChangeset for help on using the changeset viewer.