Changeset 36 for PROJECT_CORE_MPI
- Timestamp:
- Dec 3, 2012, 2:58:14 PM (12 years ago)
- File:
-
- 1 edited
Legend:
- Unmodified
- Added
- Removed
-
PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.01/CoreTypes.vhd
r22 r36 7 7 library IEEE; 8 8 use IEEE.STD_LOGIC_1164.all; 9 9 use IEEE.numeric_std.all; 10 10 package CoreTypes is 11 11 CONSTANT Word :POSITIVE:= 8; … … 145 145 FUNCTION Rol_Vec(s1:std_logic_vector) return std_logic_vector; 146 146 --renvoie un std_logic_vector de taille ADRLEN 147 Function Stdlv (s1 : natural ) return std_logic_vector; 147 Function Stdlv (s1 : natural) return std_logic_vector; 148 --renvoie un std_logic_vector de taille width 149 Function Stdlv (s1 : natural;width:positive ) return std_logic_vector; 148 150 end CoreTypes; 149 151 … … 225 227 end Rol_vec; 226 228 Function Stdlv (s1 : natural ) return std_logic_vector is 227 VARIABLE V : std_logic_vector(ADRLEN-1 downto 0) 229 VARIABLE V : std_logic_vector(ADRLEN-1 downto 0); 228 230 begin 229 231 V:=std_logic_vector(to_unsigned(s1,ADRLEN)); 230 232 return V; 231 233 end stdlv; 234 Function Stdlv (s1 : natural;width:positive ) return std_logic_vector is 235 VARIABLE V : std_logic_vector(width-1 downto 0); 236 begin 237 V:=std_logic_vector(to_unsigned(s1,width)); 238 return V; 239 end stdlv; 232 240 end CoreTypes;
Note: See TracChangeset
for help on using the changeset viewer.