Ignore:
Timestamp:
Dec 7, 2012, 11:31:34 AM (12 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.01/CORE_MPI.vhd

    r15 r39  
    142142                fifo_data_out : IN std_logic_vector(Word-1 downto 0);
    143143                fifo_rd_en : OUT std_logic;
     144                fifo_src : IN STD_LOGIC;
    144145                ram_data_in : IN std_logic_vector(Word-1 downto 0);
    145146                ram_data_out : out std_logic_vector(Word-1 downto 0);
     
    427428                fifo_data_out =>scheduler_data_out,
    428429                fifo_rd_en =>scheduler_rd_en,
     430                fifo_src =>fifo_sel,
    429431                priority_rotation => scheduler_priority_rotation,
    430432               
Note: See TracChangeset for help on using the changeset viewer.