Ignore:
Timestamp:
Dec 20, 2012, 3:42:20 PM (12 years ago)
Author:
rolagamo
Message:

Ceci est la version stable avant optimisation

File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.01/MultiMPITest.vhd

    r15 r41  
    3333 
    3434ENTITY MultiMPITest IS
    35 --port (clkm : in std_logic;
    36 --reset : in std_logic;
    37 --result : out std_logic_vector(Word-1 downto 0));
     35--simulation translate_off
     36port (clkm : in std_logic;
     37reset : in std_logic;
     38result : out std_logic_vector(Word-1 downto 0));
     39--simulation translate_on
    3840END MultiMPITest;
    3941 
     
    6870 
    6971        constant clk_period : time := 10 ns;
    70    constant PROC : positive :=4;
     72   constant PROC : positive :=8;
     73-- synthesis translate_off
    7174--===================signaux pour l'horloge ==============================
    7275signal reset,clkm : std_logic := '0';
    7376--========================================================================
     77-- synthesis translate_on
    7478 --signaux pour la gestion de la MAE
    7579 type typ_mae is (start,Fillmem,NextFill,InitApp,InitCompleted,writeptr,InstrCopy,
     
    8589 
    8690BEGIN
    87  uut: MPI_NOC GENERIC MAP (NPROC=>PROC)
     91 Xbar: MPI_NOC GENERIC MAP (NPROC=>PROC)
    8892                PORT MAP (
    8993          MPI_Node_in => MPI_Node_in,
     
    126130           Core_Hold_Ack => MPI_Node_in(2).hold_ack
    127131);
     132PE3: PE generic map (DestId=>2)
     133Port Map (
     134Instruction => MPi_Node_in(3).Instruction,
     135           Instruction_en => MPi_Node_in(3).Instruction_en,
     136                          Core_PushOut => MPi_Node_out(3).PushOut,
     137           clk =>clkm,
     138           reset =>reset,
     139           Core_RAM_Data_Out =>MPi_Node_in(3).Ram_Data_out,
     140           Core_RAM_Data_IN => MPI_Node_out(3).ram_data_in,
     141           Core_RAM_WE => MPI_Node_out(3).ram_we,
     142           Core_RAM_EN => MPI_Node_out(3).ram_en,
     143          -- Core_RAM_ENB => MPI_Node_out(1).ram_en,
     144           Core_RAM_Address_Wr => MPI_Node_out(3).ram_address_wr,
     145           Core_RAM_Address_Rd => MPI_Node_out(3).ram_address_rd,
     146           Core_Hold_req => MPI_Node_out(3).hold_req,
     147           Core_Hold_Ack => MPI_Node_in(3).hold_ack
     148);
     149
     150--PE4: PE       Generic map (DestId=>3)
     151--                      Port Map (
     152--                              Instruction => MPi_Node_in(4).Instruction,
     153--           Instruction_en => MPi_Node_in(4).Instruction_en,
     154--                        Core_PushOut => MPi_Node_out(4).PushOut,
     155--           clk =>clkm,
     156--           reset =>reset,
     157--           Core_RAM_Data_Out =>MPi_Node_in(4).Ram_Data_out,
     158--           Core_RAM_Data_IN => MPI_Node_out(4).ram_data_in,
     159--           Core_RAM_WE => MPI_Node_out(4).ram_we,
     160--           Core_RAM_EN => MPI_Node_out(4).ram_en,
     161--           --Core_RAM_ENB => MPI_Node_out(2).ram_en,
     162--           Core_RAM_Address_Wr => MPI_Node_out(4).ram_address_wr,
     163--           Core_RAM_Address_Rd => MPI_Node_out(4).ram_address_rd,
     164--           Core_Hold_req => MPI_Node_out(4).hold_req,
     165--           Core_Hold_Ack => MPI_Node_in(4).hold_ack
     166--);
    128167MPI_Node_in(1).reset<=reset;   
    129168MPI_Node_in(1).clk<=clkm;
    130169MPI_Node_in(2).reset<=reset;   
    131170MPI_Node_in(2).clk<=clkm;
    132 --Result<=MPi_Node_out(1).PushOut;
     171MPI_Node_in(3).reset<=reset;   
     172MPI_Node_in(3).clk<=clkm;
     173MPI_Node_in(4).reset<=reset;   
     174MPI_Node_in(4).clk<=clkm;
     175Result<=MPi_Node_out(1).PushOut;
    133176--=============================================================
    134177   -- Clock process definitions
    135178--=============================================================
    136    clk_process :process
     179-- synthesis translate_off
     180 clk_process :process
    137181   begin
    138182                clkm <= '0' ;
     
    153197       
    154198        end process;
     199-- synthesis translate_on
    155200--================================================================
    156201END;
Note: See TracChangeset for help on using the changeset viewer.