Changeset 41 for PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.01/MultiMPITest.vhd
- Timestamp:
- Dec 20, 2012, 3:42:20 PM (12 years ago)
- File:
-
- 1 edited
Legend:
- Unmodified
- Added
- Removed
-
PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.01/MultiMPITest.vhd
r15 r41 33 33 34 34 ENTITY MultiMPITest IS 35 --port (clkm : in std_logic; 36 --reset : in std_logic; 37 --result : out std_logic_vector(Word-1 downto 0)); 35 --simulation translate_off 36 port (clkm : in std_logic; 37 reset : in std_logic; 38 result : out std_logic_vector(Word-1 downto 0)); 39 --simulation translate_on 38 40 END MultiMPITest; 39 41 … … 68 70 69 71 constant clk_period : time := 10 ns; 70 constant PROC : positive :=4; 72 constant PROC : positive :=8; 73 -- synthesis translate_off 71 74 --===================signaux pour l'horloge ============================== 72 75 signal reset,clkm : std_logic := '0'; 73 76 --======================================================================== 77 -- synthesis translate_on 74 78 --signaux pour la gestion de la MAE 75 79 type typ_mae is (start,Fillmem,NextFill,InitApp,InitCompleted,writeptr,InstrCopy, … … 85 89 86 90 BEGIN 87 uut: MPI_NOC GENERIC MAP (NPROC=>PROC)91 Xbar: MPI_NOC GENERIC MAP (NPROC=>PROC) 88 92 PORT MAP ( 89 93 MPI_Node_in => MPI_Node_in, … … 126 130 Core_Hold_Ack => MPI_Node_in(2).hold_ack 127 131 ); 132 PE3: PE generic map (DestId=>2) 133 Port Map ( 134 Instruction => MPi_Node_in(3).Instruction, 135 Instruction_en => MPi_Node_in(3).Instruction_en, 136 Core_PushOut => MPi_Node_out(3).PushOut, 137 clk =>clkm, 138 reset =>reset, 139 Core_RAM_Data_Out =>MPi_Node_in(3).Ram_Data_out, 140 Core_RAM_Data_IN => MPI_Node_out(3).ram_data_in, 141 Core_RAM_WE => MPI_Node_out(3).ram_we, 142 Core_RAM_EN => MPI_Node_out(3).ram_en, 143 -- Core_RAM_ENB => MPI_Node_out(1).ram_en, 144 Core_RAM_Address_Wr => MPI_Node_out(3).ram_address_wr, 145 Core_RAM_Address_Rd => MPI_Node_out(3).ram_address_rd, 146 Core_Hold_req => MPI_Node_out(3).hold_req, 147 Core_Hold_Ack => MPI_Node_in(3).hold_ack 148 ); 149 150 --PE4: PE Generic map (DestId=>3) 151 -- Port Map ( 152 -- Instruction => MPi_Node_in(4).Instruction, 153 -- Instruction_en => MPi_Node_in(4).Instruction_en, 154 -- Core_PushOut => MPi_Node_out(4).PushOut, 155 -- clk =>clkm, 156 -- reset =>reset, 157 -- Core_RAM_Data_Out =>MPi_Node_in(4).Ram_Data_out, 158 -- Core_RAM_Data_IN => MPI_Node_out(4).ram_data_in, 159 -- Core_RAM_WE => MPI_Node_out(4).ram_we, 160 -- Core_RAM_EN => MPI_Node_out(4).ram_en, 161 -- --Core_RAM_ENB => MPI_Node_out(2).ram_en, 162 -- Core_RAM_Address_Wr => MPI_Node_out(4).ram_address_wr, 163 -- Core_RAM_Address_Rd => MPI_Node_out(4).ram_address_rd, 164 -- Core_Hold_req => MPI_Node_out(4).hold_req, 165 -- Core_Hold_Ack => MPI_Node_in(4).hold_ack 166 --); 128 167 MPI_Node_in(1).reset<=reset; 129 168 MPI_Node_in(1).clk<=clkm; 130 169 MPI_Node_in(2).reset<=reset; 131 170 MPI_Node_in(2).clk<=clkm; 132 --Result<=MPi_Node_out(1).PushOut; 171 MPI_Node_in(3).reset<=reset; 172 MPI_Node_in(3).clk<=clkm; 173 MPI_Node_in(4).reset<=reset; 174 MPI_Node_in(4).clk<=clkm; 175 Result<=MPi_Node_out(1).PushOut; 133 176 --============================================================= 134 177 -- Clock process definitions 135 178 --============================================================= 136 clk_process :process 179 -- synthesis translate_off 180 clk_process :process 137 181 begin 138 182 clkm <= '0' ; … … 153 197 154 198 end process; 199 -- synthesis translate_on 155 200 --================================================================ 156 201 END;
Note: See TracChangeset
for help on using the changeset viewer.