Ignore:
Timestamp:
Dec 20, 2012, 3:42:20 PM (12 years ago)
Author:
rolagamo
Message:

Ceci est la version stable avant optimisation

File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.01/RAM_32_32.vhd

    r15 r41  
    4545        signal sel : std_logic_vector(1 downto 0);
    4646        signal doa,dout : std_logic_vector(width-1 downto 0);
    47         type ram_type is array (2**size-1 downto 0) of std_logic_vector (width-1 downto 0);
     47        type ram_type is array (2**(size-3)-1 downto 0) of std_logic_vector (width-1 downto 0);
    4848        signal RAM: ram_type;
    4949begin
Note: See TracChangeset for help on using the changeset viewer.