Ignore:
Timestamp:
Apr 22, 2013, 11:35:01 AM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.03/MultiMPITest.vhd

    r41 r64  
    7070 
    7171        constant clk_period : time := 10 ns;
    72    constant PROC : positive :=8;
     72   constant PROC : positive :=16;
    7373-- synthesis translate_off
    7474--===================signaux pour l'horloge ==============================
    75 signal reset,clkm : std_logic := '0';
     75--signal reset,clkm : std_logic := '0';
    7676--========================================================================
    7777-- synthesis translate_on
     
    9595        );
    9696
    97 PE1: PE generic map (DestId=>1)
     97PE1: PE generic map (DestId=>0)
    9898Port Map (
    9999Instruction => MPi_Node_in(1).Instruction,
     
    113113);
    114114
    115 PE2: PE         Generic map (DestId=>0)
     115PE2: PE         Generic map (DestId=>1)
    116116                        Port Map (
    117117                                Instruction => MPi_Node_in(2).Instruction,
     
    148148);
    149149
    150 --PE4: PE       Generic map (DestId=>3)
    151 --                      Port Map (
    152 --                              Instruction => MPi_Node_in(4).Instruction,
    153 --           Instruction_en => MPi_Node_in(4).Instruction_en,
    154 --                        Core_PushOut => MPi_Node_out(4).PushOut,
    155 --           clk =>clkm,
    156 --           reset =>reset,
    157 --           Core_RAM_Data_Out =>MPi_Node_in(4).Ram_Data_out,
    158 --           Core_RAM_Data_IN => MPI_Node_out(4).ram_data_in,
    159 --           Core_RAM_WE => MPI_Node_out(4).ram_we,
    160 --           Core_RAM_EN => MPI_Node_out(4).ram_en,
    161 --           --Core_RAM_ENB => MPI_Node_out(2).ram_en,
    162 --           Core_RAM_Address_Wr => MPI_Node_out(4).ram_address_wr,
    163 --           Core_RAM_Address_Rd => MPI_Node_out(4).ram_address_rd,
    164 --           Core_Hold_req => MPI_Node_out(4).hold_req,
    165 --           Core_Hold_Ack => MPI_Node_in(4).hold_ack
    166 --);
     150PE4: PE         Generic map (DestId=>3)
     151                        Port Map (
     152                                Instruction => MPi_Node_in(4).Instruction,
     153           Instruction_en => MPi_Node_in(4).Instruction_en,
     154                          Core_PushOut => MPi_Node_out(4).PushOut,
     155           clk =>clkm,
     156           reset =>reset,
     157           Core_RAM_Data_Out =>MPi_Node_in(4).Ram_Data_out,
     158           Core_RAM_Data_IN => MPI_Node_out(4).ram_data_in,
     159           Core_RAM_WE => MPI_Node_out(4).ram_we,
     160           Core_RAM_EN => MPI_Node_out(4).ram_en,
     161           --Core_RAM_ENB => MPI_Node_out(2).ram_en,
     162           Core_RAM_Address_Wr => MPI_Node_out(4).ram_address_wr,
     163           Core_RAM_Address_Rd => MPI_Node_out(4).ram_address_rd,
     164           Core_Hold_req => MPI_Node_out(4).hold_req,
     165           Core_Hold_Ack => MPI_Node_in(4).hold_ack
     166);
    167167MPI_Node_in(1).reset<=reset;   
    168168MPI_Node_in(1).clk<=clkm;
     
    178178--=============================================================
    179179-- synthesis translate_off
    180  clk_process :process
    181    begin
    182                 clkm <= '0' ;
    183                 wait for clk_period/2;
    184                 clkm <= '1' ;
    185                 wait for clk_period/2;
    186    end process;
    187  stim_proc: process
    188    begin               
     180-- clk_process :process
     181--   begin
     182--              clkm <= '0' ;
     183--              wait for clk_period/2;
     184--              clkm <= '1' ;
     185--              wait for clk_period/2;
     186--   end process;
     187-- stim_proc: process
     188--   begin             
    189189      -- hold reset state for 100 ns.
    190       reset<='0';
    191                 wait for 1 ns; 
    192                 reset<='1';
    193       wait for clk_period*10;
    194                 reset<='0';
    195                 wait;
    196      
    197        
    198         end process;
     190--      reset<='0';
     191--              wait for 1 ns; 
     192--              reset<='1';
     193--      wait for clk_period*10;
     194--              reset<='0';
     195--              wait;
     196--     
     197--     
     198--      end process;
    199199-- synthesis translate_on
    200200--================================================================
Note: See TracChangeset for help on using the changeset viewer.