Ignore:
Timestamp:
Apr 22, 2013, 11:35:01 AM (11 years ago)
Author:
rolagamo
Message:
 
Location:
PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.03/iseconfig
Files:
2 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.03/iseconfig/MPI_CORE_COMPONENTS.projectmgr

    r43 r64  
    1414         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/Inst_RAM_v - RAM_v - Behavioral/MPI_PKG/mpi_pkg</ClosedNode>
    1515         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/MPI_PKG</ClosedNode>
    16          <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural</ClosedNode>
     16         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
    1717         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/Instruction_Fifo1 - FIFO_64_FWFT - Behavioral</ClosedNode>
    1818         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
     
    184184         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/MPI_PKG</ClosedNode>
    185185         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/PE1 - PE - Behavioral</ClosedNode>
    186          <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural</ClosedNode>
     186         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/PE2 - PE - Behavioral</ClosedNode>
     187         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/PE3 - PE - Behavioral</ClosedNode>
    187188         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
    188189         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral</ClosedNode>
    189          <ClosedNode>/Unassigned User Library Modules</ClosedNode>
     190         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT10_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     191         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT11_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     192         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT12_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     193         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT13_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     194         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT14_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     195         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT15_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     196         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT1_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     197         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT1_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     198         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT2_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     199         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT2_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     200         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT3_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     201         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT3_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     202         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT4_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     203         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT5_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     204         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT6_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     205         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT7_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     206         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT8_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     207         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT9_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     208         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx16_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     209         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx16_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     210         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx4_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     211         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx8_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     212         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx9_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     213         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler10_10 - Scheduler - Behavioral</ClosedNode>
     214         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler11_11 - Scheduler - Behavioral</ClosedNode>
     215         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler12_12 - Scheduler - Behavioral</ClosedNode>
     216         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler13_13 - Scheduler - Behavioral</ClosedNode>
     217         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler14_14 - Scheduler - Behavioral</ClosedNode>
     218         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler15_15 - Scheduler - Behavioral</ClosedNode>
     219         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler16_16 - Scheduler - Behavioral</ClosedNode>
     220         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler2_2 - Scheduler - Behavioral</ClosedNode>
     221         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler3_3 - Scheduler - Behavioral</ClosedNode>
     222         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler4_4 - Scheduler - Behavioral</ClosedNode>
     223         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler5_5 - Scheduler - Behavioral</ClosedNode>
     224         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler6_6 - Scheduler - Behavioral</ClosedNode>
     225         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler7_7 - Scheduler - Behavioral</ClosedNode>
     226         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler8_8 - Scheduler - Behavioral</ClosedNode>
     227         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler9_9 - Scheduler - Behavioral</ClosedNode>
     228         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar10_10 - Crossbar - Behavioral</ClosedNode>
     229         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar11_11 - Crossbar - Behavioral</ClosedNode>
     230         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar12_12 - Crossbar - Behavioral</ClosedNode>
     231         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar13_13 - Crossbar - Behavioral</ClosedNode>
     232         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar14_14 - Crossbar - Behavioral</ClosedNode>
     233         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar15_15 - Crossbar - Behavioral</ClosedNode>
     234         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar16_16 - Crossbar - Behavioral</ClosedNode>
     235         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar2_2 - Crossbar - Behavioral</ClosedNode>
     236         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar3_3 - Crossbar - Behavioral</ClosedNode>
     237         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar4_4 - Crossbar - Behavioral</ClosedNode>
     238         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar5_5 - Crossbar - Behavioral</ClosedNode>
     239         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar6_6 - Crossbar - Behavioral</ClosedNode>
     240         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar7_7 - Crossbar - Behavioral</ClosedNode>
     241         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar8_8 - Crossbar - Behavioral</ClosedNode>
     242         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar9_9 - Crossbar - Behavioral</ClosedNode>
     243         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/conversions</ClosedNode>
     244         <ClosedNode>/SWITCH_GENERIQUE - Behavioral C:|Core MPI|SWITCH_GENERIC_16_16|SWITCH_GENERIQUE.vhd</ClosedNode>
     245         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral</ClosedNode>
     246         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT10_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     247         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT10_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     248         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT11_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     249         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT11_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     250         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT12_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     251         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT12_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     252         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT13_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     253         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT13_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     254         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT14_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     255         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT14_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     256         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT15_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     257         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT15_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     258         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT16_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     259         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT16_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     260         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT1_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     261         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT1_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     262         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT2_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     263         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT2_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     264         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT3_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     265         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT3_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     266         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT4_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     267         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT4_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     268         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT5_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     269         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT5_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     270         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT6_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     271         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT6_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     272         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT7_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     273         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT7_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     274         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT8_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     275         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT8_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     276         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT9_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     277         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/PORT9_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     278         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler10_10 - Scheduler - Behavioral</ClosedNode>
     279         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler11_11 - Scheduler - Behavioral</ClosedNode>
     280         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler12_12 - Scheduler - Behavioral</ClosedNode>
     281         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler13_13 - Scheduler - Behavioral</ClosedNode>
     282         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler14_14 - Scheduler - Behavioral</ClosedNode>
     283         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler15_15 - Scheduler - Behavioral</ClosedNode>
     284         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler16_16 - Scheduler - Behavioral</ClosedNode>
     285         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler2_2 - Scheduler - Behavioral</ClosedNode>
     286         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler3_3 - Scheduler - Behavioral</ClosedNode>
     287         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler4_4 - Scheduler - Behavioral</ClosedNode>
     288         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler5_5 - Scheduler - Behavioral</ClosedNode>
     289         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler6_6 - Scheduler - Behavioral</ClosedNode>
     290         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler7_7 - Scheduler - Behavioral</ClosedNode>
     291         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler8_8 - Scheduler - Behavioral</ClosedNode>
     292         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Scheduler9_9 - Scheduler - Behavioral</ClosedNode>
     293         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar10_10 - Crossbar - Behavioral</ClosedNode>
     294         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar11_11 - Crossbar - Behavioral</ClosedNode>
     295         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar12_12 - Crossbar - Behavioral</ClosedNode>
     296         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar13_13 - Crossbar - Behavioral</ClosedNode>
     297         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar14_14 - Crossbar - Behavioral</ClosedNode>
     298         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar15_15 - Crossbar - Behavioral</ClosedNode>
     299         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar16_16 - Crossbar - Behavioral</ClosedNode>
     300         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar2_2 - Crossbar - Behavioral</ClosedNode>
     301         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar3_3 - Crossbar - Behavioral</ClosedNode>
     302         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar4_4 - Crossbar - Behavioral</ClosedNode>
     303         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar5_5 - Crossbar - Behavioral</ClosedNode>
     304         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar6_6 - Crossbar - Behavioral</ClosedNode>
     305         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar7_7 - Crossbar - Behavioral</ClosedNode>
     306         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar8_8 - Crossbar - Behavioral</ClosedNode>
     307         <ClosedNode>/Unassigned User Library Modules/SWITCH_GENERIQUE - Behavioral/Switch_Crossbar9_9 - Crossbar - Behavioral</ClosedNode>
     308         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior</ClosedNode>
     309         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT10_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     310         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT11_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     311         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT12_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     312         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT13_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     313         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT14_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     314         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT15_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     315         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT1_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     316         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT1_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     317         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT2_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     318         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT2_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     319         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT3_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     320         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT3_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     321         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT4_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     322         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT5_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     323         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT6_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     324         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT7_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     325         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT8_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     326         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORT9_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     327         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORTx16_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     328         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORTx16_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     329         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORTx4_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     330         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORTx8_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     331         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/PORTx9_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     332         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler10_10 - Scheduler - Behavioral</ClosedNode>
     333         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler11_11 - Scheduler - Behavioral</ClosedNode>
     334         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler12_12 - Scheduler - Behavioral</ClosedNode>
     335         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler13_13 - Scheduler - Behavioral</ClosedNode>
     336         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler14_14 - Scheduler - Behavioral</ClosedNode>
     337         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler15_15 - Scheduler - Behavioral</ClosedNode>
     338         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler16_16 - Scheduler - Behavioral</ClosedNode>
     339         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler2_2 - Scheduler - Behavioral</ClosedNode>
     340         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler3_3 - Scheduler - Behavioral</ClosedNode>
     341         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler4_4 - Scheduler - Behavioral</ClosedNode>
     342         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler5_5 - Scheduler - Behavioral</ClosedNode>
     343         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler6_6 - Scheduler - Behavioral</ClosedNode>
     344         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler7_7 - Scheduler - Behavioral</ClosedNode>
     345         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler8_8 - Scheduler - Behavioral</ClosedNode>
     346         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Scheduler9_9 - Scheduler - Behavioral</ClosedNode>
     347         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar10_10 - Crossbar - Behavioral</ClosedNode>
     348         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar11_11 - Crossbar - Behavioral</ClosedNode>
     349         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar12_12 - Crossbar - Behavioral</ClosedNode>
     350         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar13_13 - Crossbar - Behavioral</ClosedNode>
     351         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar14_14 - Crossbar - Behavioral</ClosedNode>
     352         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar15_15 - Crossbar - Behavioral</ClosedNode>
     353         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar16_16 - Crossbar - Behavioral</ClosedNode>
     354         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar2_2 - Crossbar - Behavioral</ClosedNode>
     355         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar3_3 - Crossbar - Behavioral</ClosedNode>
     356         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar4_4 - Crossbar - Behavioral</ClosedNode>
     357         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar5_5 - Crossbar - Behavioral</ClosedNode>
     358         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar6_6 - Crossbar - Behavioral</ClosedNode>
     359         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar7_7 - Crossbar - Behavioral</ClosedNode>
     360         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar8_8 - Crossbar - Behavioral</ClosedNode>
     361         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior/uut - SWITCH_GEN - Behavioral/Switch_Crossbar9_9 - Crossbar - Behavioral</ClosedNode>
     362         <ClosedNode>/testbench - behavior C:|Core MPI|CORE_MPI|sim_fifo.vhd</ClosedNode>
    190363      </ClosedNodes>
    191364      <SelectedItems>
    192365         <SelectedItem>MultiMPITest - behavior (C:/Core MPI/CORE_MPI/MultiMPITest.vhd)</SelectedItem>
    193366      </SelectedItems>
    194       <ScrollbarPosition orientation="vertical" >9</ScrollbarPosition>
    195       <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    196       <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000002f3000000020000000000000000000000000000000064ffffffff000000810000000000000002000002f30000000100000000000000000000000100000000</ViewHeaderState>
     367      <ScrollbarPosition orientation="vertical" >21</ScrollbarPosition>
     368      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     369      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000035b000000020000000000000000000000000000000064ffffffff0000008100000000000000020000035b0000000100000000000000000000000100000000</ViewHeaderState>
    197370      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    198371      <CurrentItem>MultiMPITest - behavior (C:/Core MPI/CORE_MPI/MultiMPITest.vhd)</CurrentItem>
     
    202375         <ClosedNodesVersion>1</ClosedNodesVersion>
    203376         <ClosedNode>Configure Target Device</ClosedNode>
    204          <ClosedNode>Implement Design</ClosedNode>
     377         <ClosedNode>Implement Design/Map</ClosedNode>
     378         <ClosedNode>Implement Design/Place &amp; Route</ClosedNode>
    205379         <ClosedNode>Implement Design/Place &amp; Route/Back-annotate Pin Locations</ClosedNode>
    206380         <ClosedNode>Implement Design/Place &amp; Route/Generate IBIS Model</ClosedNode>
    207381         <ClosedNode>Implement Design/Place &amp; Route/Generate Post-Place &amp; Route Static Timing</ClosedNode>
    208          <ClosedNode>Implement Design/Translate</ClosedNode>
    209       </ClosedNodes>
    210       <SelectedItems>
    211          <SelectedItem>Synthesize - XST</SelectedItem>
    212       </SelectedItems>
    213       <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
    214       <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    215       <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f70000000100000000</ViewHeaderState>
    216       <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    217       <CurrentItem>Synthesize - XST</CurrentItem>
     382         <ClosedNode>User Constraints</ClosedNode>
     383      </ClosedNodes>
     384      <SelectedItems>
     385         <SelectedItem>View RTL Schematic</SelectedItem>
     386      </SelectedItems>
     387      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     388      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     389      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000013c000000010000000100000000000000000000000064ffffffff0000008100000000000000010000013c0000000100000000</ViewHeaderState>
     390      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     391      <CurrentItem>View RTL Schematic</CurrentItem>
    218392   </ItemView>
    219393   <ItemView guiview="File" >
     
    222396      </ClosedNodes>
    223397      <SelectedItems>
    224          <SelectedItem>C:\Core MPI\SWITCH_GENERIC_16_16\Crossbar.vhd</SelectedItem>
    225       </SelectedItems>
    226       <ScrollbarPosition orientation="vertical" >26</ScrollbarPosition>
    227       <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    228       <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000307000000040101000100000000000000000000000064ffffffff000000810000000000000004000001f90000000100000000000000440000000100000000000000660000000100000000000000640000000100000000</ViewHeaderState>
    229       <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    230       <CurrentItem>C:\Core MPI\SWITCH_GENERIC_16_16\Crossbar.vhd</CurrentItem>
     398         <SelectedItem>C:\Core MPI\CORE_MPI\MPI_RMA.vhd</SelectedItem>
     399      </SelectedItems>
     400      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     401      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     402      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000002010000000000000000000000000000000000000307000000040101000100000000000000000000000064ffffffff000000810000000000000004000001f90000000100000000000000440000000100000000000000660000000100000000000000640000000100000000</ViewHeaderState>
     403      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     404      <CurrentItem>C:\Core MPI\CORE_MPI\MPI_RMA.vhd</CurrentItem>
    231405   </ItemView>
    232406   <ItemView guiview="Library" >
    233407      <ClosedNodes>
    234408         <ClosedNodesVersion>1</ClosedNodesVersion>
    235          <ClosedNode>work</ClosedNode>
    236       </ClosedNodes>
    237       <SelectedItems>
    238          <SelectedItem>C:\Core MPI\SWITCH_GENERIC_16_16\SCHEDULER4_4.VHD</SelectedItem>
    239       </SelectedItems>
    240       <ScrollbarPosition orientation="vertical" >7</ScrollbarPosition>
     409      </ClosedNodes>
     410      <SelectedItems>
     411         <SelectedItem>C:\Core MPI\CORE_MPI\MultiMPITest.vhd</SelectedItem>
     412      </SelectedItems>
     413      <ScrollbarPosition orientation="vertical" >48</ScrollbarPosition>
    241414      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    242415      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000103000000010001000100000000000000000000000064ffffffff000000810000000000000001000001030000000100000000</ViewHeaderState>
    243416      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    244       <CurrentItem>C:\Core MPI\SWITCH_GENERIC_16_16\SCHEDULER4_4.VHD</CurrentItem>
     417      <CurrentItem>C:\Core MPI\CORE_MPI\MultiMPITest.vhd</CurrentItem>
    245418   </ItemView>
    246419   <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
     
    254427      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
    255428      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    256       <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
     429      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000228000000010000000100000000000000000000000064ffffffff000000810000000000000001000002280000000100000000</ViewHeaderState>
    257430      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    258431      <CurrentItem/>
     
    269442         <ClosedNode>/CORE_MPI - Behavioral C:|Documents and Settings|Administrateur.SWEET-918992B6D|Bureau|MPSOC_MEMOIRE_FIN|MPI_CORE_COMPONENTS|CORE_MPI.vhd/Instruction_Fifo2 - FIFO_64_FWFT - Behavioral</ClosedNode>
    270443         <ClosedNode>/FIFO - TOP_HIER C:|Core MPI|CORE_MPI|FIfo_mem.vhd</ClosedNode>
     444         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd</ClosedNode>
    271445         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural</ClosedNode>
    272446         <ClosedNode>/MPICORETEST - behavior C:|Core MPI|CORE_MPI|MPICORETEST.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural/CORE_SCHEDULER - MPI_CORE_SCHEDULER - Behavioral</ClosedNode>
     
    282456         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/PE1 - PE - Behavioral</ClosedNode>
    283457         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/PE2 - PE - Behavioral</ClosedNode>
     458         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural</ClosedNode>
     459         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral</ClosedNode>
    284460         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/uut - MPI_NOC - structural</ClosedNode>
    285461         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/uut - MPI_NOC - structural/hardmpi - CORE_MPI - Structural</ClosedNode>
    286462         <ClosedNode>/MultiMPITest - behavior C:|Core MPI|CORE_MPI|MultiMPITest.vhd/uut - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral</ClosedNode>
    287          <ClosedNode>/Unassigned User Library Modules</ClosedNode>
    288463         <ClosedNode>/Unassigned User Library Modules/Scheduler12_12 - Behavioral</ClosedNode>
    289464         <ClosedNode>/Unassigned User Library Modules/Scheduler13_13 - Behavioral</ClosedNode>
     
    296471         <ClosedNode>/Unassigned User Library Modules/Scheduler6_6 - Behavioral</ClosedNode>
    297472         <ClosedNode>/Unassigned User Library Modules/Scheduler7_7 - Behavioral</ClosedNode>
     473         <ClosedNode>/Unassigned User Library Modules/conversions</ClosedNode>
    298474         <ClosedNode>/Unassigned User Library Modules/test_xbar_16x16 - behavior</ClosedNode>
    299475         <ClosedNode>/Unassigned User Library Modules/test_xbar_8x8 - behavior</ClosedNode>
     476         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/PE1 - PE - Behavioral</ClosedNode>
     477         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/PE2 - PE - Behavioral</ClosedNode>
     478         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/PE3 - PE - Behavioral</ClosedNode>
     479         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural</ClosedNode>
     480         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/hardmpi - CORE_MPI - Structural</ClosedNode>
     481         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral</ClosedNode>
     482         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT10_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     483         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT11_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     484         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT12_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     485         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT13_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     486         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT14_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     487         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT15_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     488         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT1_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     489         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT1_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     490         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT2_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     491         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT2_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     492         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT3_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     493         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT3_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     494         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT4_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     495         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT5_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     496         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT6_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     497         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT7_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     498         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT8_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     499         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORT9_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     500         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx16_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     501         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx16_OUTPUT_PORT_MODULE - OUTPUT_PORT_MODULE - Behavioral_description</ClosedNode>
     502         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx4_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     503         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx8_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     504         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/PORTx9_INPUT_PORT_MODULE - INPUT_PORT_MODULE - Behavioral</ClosedNode>
     505         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler10_10 - Scheduler - Behavioral</ClosedNode>
     506         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler11_11 - Scheduler - Behavioral</ClosedNode>
     507         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler12_12 - Scheduler - Behavioral</ClosedNode>
     508         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler13_13 - Scheduler - Behavioral</ClosedNode>
     509         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler14_14 - Scheduler - Behavioral</ClosedNode>
     510         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler15_15 - Scheduler - Behavioral</ClosedNode>
     511         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler16_16 - Scheduler - Behavioral</ClosedNode>
     512         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler2_2 - Scheduler - Behavioral</ClosedNode>
     513         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler3_3 - Scheduler - Behavioral</ClosedNode>
     514         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler4_4 - Scheduler - Behavioral</ClosedNode>
     515         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler5_5 - Scheduler - Behavioral</ClosedNode>
     516         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler6_6 - Scheduler - Behavioral</ClosedNode>
     517         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler7_7 - Scheduler - Behavioral</ClosedNode>
     518         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler8_8 - Scheduler - Behavioral</ClosedNode>
     519         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Scheduler9_9 - Scheduler - Behavioral</ClosedNode>
     520         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar10_10 - Crossbar - Behavioral</ClosedNode>
     521         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar11_11 - Crossbar - Behavioral</ClosedNode>
     522         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar12_12 - Crossbar - Behavioral</ClosedNode>
     523         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar13_13 - Crossbar - Behavioral</ClosedNode>
     524         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar14_14 - Crossbar - Behavioral</ClosedNode>
     525         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar15_15 - Crossbar - Behavioral</ClosedNode>
     526         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar16_16 - Crossbar - Behavioral</ClosedNode>
     527         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar2_2 - Crossbar - Behavioral</ClosedNode>
     528         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar3_3 - Crossbar - Behavioral</ClosedNode>
     529         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar4_4 - Crossbar - Behavioral</ClosedNode>
     530         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar5_5 - Crossbar - Behavioral</ClosedNode>
     531         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar6_6 - Crossbar - Behavioral</ClosedNode>
     532         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar7_7 - Crossbar - Behavioral</ClosedNode>
     533         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar8_8 - Crossbar - Behavioral</ClosedNode>
     534         <ClosedNode>/mpi_test - behavior C:|Core MPI|CORE_MPI|mpi_test.vhd/uut - MultiMPITest - behavior/Xbar - MPI_NOC - structural/switch_gen1 - SWITCH_GEN - Behavioral/Switch_Crossbar9_9 - Crossbar - Behavioral</ClosedNode>
     535         <ClosedNode>/test_DMA - behavior C:|Core MPI|CORE_MPI|test_DMA.vhd</ClosedNode>
    300536         <ClosedNode>/testbench - behavior C:|Core MPI|CORE_MPI|sim_fifo.vhd/uut - FIFO_256_FWFT - Behavioral</ClosedNode>
    301537      </ClosedNodes>
    302538      <SelectedItems>
    303          <SelectedItem>MultiMPITest - behavior (C:/Core MPI/CORE_MPI/MultiMPITest.vhd)</SelectedItem>
    304       </SelectedItems>
    305       <ScrollbarPosition orientation="vertical" >6</ScrollbarPosition>
     539         <SelectedItem>mpi_test - behavior (C:/Core MPI/CORE_MPI/mpi_test.vhd)</SelectedItem>
     540      </SelectedItems>
     541      <ScrollbarPosition orientation="vertical" >7</ScrollbarPosition>
    306542      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    307543      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000002c1000000020000000000000000000000000000000064ffffffff000000810000000000000002000002c10000000100000000000000000000000100000000</ViewHeaderState>
    308544      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    309       <CurrentItem>MultiMPITest - behavior (C:/Core MPI/CORE_MPI/MultiMPITest.vhd)</CurrentItem>
     545      <CurrentItem>mpi_test - behavior (C:/Core MPI/CORE_MPI/mpi_test.vhd)</CurrentItem>
    310546   </ItemView>
    311547   <ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
     
    319555      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
    320556      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    321       <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000132000000010000000100000000000000000000000064ffffffff000000810000000000000001000001320000000100000000</ViewHeaderState>
     557      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000151000000010000000100000000000000000000000064ffffffff000000810000000000000001000001510000000100000000</ViewHeaderState>
    322558      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    323559      <CurrentItem/>
     
    332568      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
    333569      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    334       <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000132000000010000000100000000000000000000000064ffffffff000000810000000000000001000001320000000100000000</ViewHeaderState>
     570      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000151000000010000000100000000000000000000000064ffffffff000000810000000000000001000001510000000100000000</ViewHeaderState>
    335571      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    336572      <CurrentItem>ISim Simulator</CurrentItem>
    337573   </ItemView>
    338574   <SourceProcessView>000000ff0000000000000002000000d1000000d101000000050100000002</SourceProcessView>
    339    <CurrentView>Implementation</CurrentView>
     575   <CurrentView>Behavioral Simulation</CurrentView>
    340576   <ItemView engineview="BehavioralSim" sourcetype="DESUT_VHDL_PACKAGE_DECL" guiview="Process" >
    341577      <ClosedNodes>
     
    408644      </ClosedNodes>
    409645      <SelectedItems>
    410          <SelectedItem>stimuli45 - behavioral (C:/Core MPI/SWITCH_GENERIC_16_16/stimuli1.vhd)</SelectedItem>
    411       </SelectedItems>
    412       <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
    413       <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    414       <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000189000000020000000000000000000000000000000064ffffffff000000810000000000000002000001890000000100000000000000000000000100000000</ViewHeaderState>
    415       <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    416       <CurrentItem>stimuli45 - behavioral (C:/Core MPI/SWITCH_GENERIC_16_16/stimuli1.vhd)</CurrentItem>
     646         <SelectedItem>Unassigned User Library Modules</SelectedItem>
     647      </SelectedItems>
     648      <ScrollbarPosition orientation="vertical" >1</ScrollbarPosition>
     649      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     650      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000213000000020000000100000000000000000000000064ffffffff000000810000000000000002000002130000000100000000000000000000000100000000</ViewHeaderState>
     651      <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
     652      <CurrentItem>Unassigned User Library Modules</CurrentItem>
    417653   </ItemView>
    418654   <ItemView engineview="PostTransSim" sourcetype="" guiview="Process" >
     
    421657      </ClosedNodes>
    422658      <SelectedItems>
    423          <SelectedItem>Compile HDL Simulation Libraries</SelectedItem>
    424       </SelectedItems>
    425       <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
    426       <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    427       <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000115000000010000000100000000000000000000000064ffffffff000000810000000000000001000001150000000100000000</ViewHeaderState>
    428       <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    429       <CurrentItem>Compile HDL Simulation Libraries</CurrentItem>
     659         <SelectedItem>Design Utilities</SelectedItem>
     660      </SelectedItems>
     661      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     662      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     663      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000228000000010000000100000000000000000000000064ffffffff000000810000000000000001000002280000000100000000</ViewHeaderState>
     664      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     665      <CurrentItem>Design Utilities</CurrentItem>
    430666   </ItemView>
    431667   <ItemView engineview="PostTransSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
    432668      <ClosedNodes>
    433669         <ClosedNodesVersion>1</ClosedNodesVersion>
     670      </ClosedNodes>
     671      <SelectedItems>
     672         <SelectedItem>Simulate Post-Translate Model</SelectedItem>
     673      </SelectedItems>
     674      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     675      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     676      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000228000000010000000100000000000000000000000064ffffffff000000810000000000000001000002280000000100000000</ViewHeaderState>
     677      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     678      <CurrentItem>Simulate Post-Translate Model</CurrentItem>
     679   </ItemView>
     680   <ItemView engineview="PostMapSim" guiview="Source" compilemode="AutoCompile" >
     681      <ClosedNodes>
     682         <ClosedNodesVersion>2</ClosedNodesVersion>
     683      </ClosedNodes>
     684      <SelectedItems>
     685         <SelectedItem>Unassigned User Library Modules</SelectedItem>
     686      </SelectedItems>
     687      <ScrollbarPosition orientation="vertical" >2</ScrollbarPosition>
     688      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     689      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000275000000020000000000000000000000000000000064ffffffff000000810000000000000002000002750000000100000000000000000000000100000000</ViewHeaderState>
     690      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     691      <CurrentItem>Unassigned User Library Modules</CurrentItem>
     692   </ItemView>
     693   <ItemView engineview="PostMapSim" sourcetype="" guiview="Process" >
     694      <ClosedNodes>
     695         <ClosedNodesVersion>1</ClosedNodesVersion>
     696         <ClosedNode>Design Utilities</ClosedNode>
     697      </ClosedNodes>
     698      <SelectedItems>
     699         <SelectedItem/>
     700      </SelectedItems>
     701      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     702      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     703      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000010c000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010c0000000100000000</ViewHeaderState>
     704      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     705      <CurrentItem/>
     706   </ItemView>
     707   <ItemView engineview="PostMapSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
     708      <ClosedNodes>
     709         <ClosedNodesVersion>1</ClosedNodesVersion>
    434710         <ClosedNode>ISim Simulator</ClosedNode>
    435711      </ClosedNodes>
     
    439715      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
    440716      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
    441       <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000115000000010000000100000000000000000000000064ffffffff000000810000000000000001000001150000000100000000</ViewHeaderState>
     717      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000010c000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010c0000000100000000</ViewHeaderState>
     718      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     719      <CurrentItem/>
     720   </ItemView>
     721   <ItemView engineview="PostRouteSim" guiview="Source" compilemode="AutoCompile" >
     722      <ClosedNodes>
     723         <ClosedNodesVersion>2</ClosedNodesVersion>
     724      </ClosedNodes>
     725      <SelectedItems>
     726         <SelectedItem>Unassigned User Library Modules</SelectedItem>
     727      </SelectedItems>
     728      <ScrollbarPosition orientation="vertical" >2</ScrollbarPosition>
     729      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     730      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000275000000020000000000000000000000000000000064ffffffff000000810000000000000002000002750000000100000000000000000000000100000000</ViewHeaderState>
     731      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     732      <CurrentItem>Unassigned User Library Modules</CurrentItem>
     733   </ItemView>
     734   <ItemView engineview="PostRouteSim" sourcetype="" guiview="Process" >
     735      <ClosedNodes>
     736         <ClosedNodesVersion>1</ClosedNodesVersion>
     737      </ClosedNodes>
     738      <SelectedItems>
     739         <SelectedItem/>
     740      </SelectedItems>
     741      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     742      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     743      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000228000000010000000100000000000000000000000064ffffffff000000810000000000000001000002280000000100000000</ViewHeaderState>
     744      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     745      <CurrentItem/>
     746   </ItemView>
     747   <ItemView engineview="PostRouteSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
     748      <ClosedNodes>
     749         <ClosedNodesVersion>1</ClosedNodesVersion>
     750      </ClosedNodes>
     751      <SelectedItems>
     752         <SelectedItem>Simulate Post-Place &amp; Route Model</SelectedItem>
     753      </SelectedItems>
     754      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     755      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     756      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000010c000000010000000100000000000000000000000064ffffffff0000008100000000000000010000010c0000000100000000</ViewHeaderState>
     757      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
     758      <CurrentItem>Simulate Post-Place &amp; Route Model</CurrentItem>
     759   </ItemView>
     760   <ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
     761      <ClosedNodes>
     762         <ClosedNodesVersion>1</ClosedNodesVersion>
     763      </ClosedNodes>
     764      <SelectedItems/>
     765      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
     766      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
     767      <ViewHeaderState orientation="horizontal" />
    442768      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
    443769      <CurrentItem/>
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.03/iseconfig/MultiMPITest.xreport

    r41 r64  
    22<report-views version="2.0" >
    33 <header>
    4   <DateModified>2012-12-20T15:14:17</DateModified>
    5   <ModuleName>SWITCH_GEN</ModuleName>
    6   <SummaryTimeStamp>2012-12-19T17:00:46</SummaryTimeStamp>
     4  <DateModified>2013-04-22T08:02:07</DateModified>
     5  <ModuleName>DMA_ARBITER</ModuleName>
     6  <SummaryTimeStamp>2013-04-10T14:49:35</SummaryTimeStamp>
    77  <SavedFilePath>C:/Core MPI/CORE_MPI/iseconfig/MultiMPITest.xreport</SavedFilePath>
    88  <ImplementationReportsDirectory>C:/Core MPI/CORE_MPI\</ImplementationReportsDirectory>
    9   <DateInitialized>2012-12-09T12:18:32</DateInitialized>
     9  <DateInitialized>2013-03-19T19:44:50</DateInitialized>
    1010  <EnableMessageFiltering>false</EnableMessageFiltering>
    1111 </header>
    1212 <body>
    1313  <viewgroup label="Design Overview" >
    14    <view inputState="Unknown" program="implementation" ShowPartitionData="false" ExpandConstraints="true" type="FPGASummary" file="SWITCH_GEN_summary.html" label="Summary" ExpandClockNets="true" ExpandWarnings="true" >
     14   <view inputState="Unknown" program="implementation" ShowPartitionData="false" ExpandConstraints="true" type="FPGASummary" file="DMA_ARBITER_summary.html" label="Summary" ExpandClockNets="true" ExpandWarnings="true" >
    1515    <toc-item title="Design Overview" target="Design Overview" />
    1616    <toc-item title="Design Utilization Summary" target="Design Utilization Summary" />
     
    2222    <table-item tableState="ExpandedTable" tableKey="DeviceUtilizationSummary" />
    2323    <table-item tableState="CollapsedTable" tableKey="DeviceUtilizationSummary" />
    24    </view>
    25    <view inputState="Unknown" program="implementation" contextTags="FPGA_ONLY" hidden="true" type="HTML" file="SWITCH_GEN_envsettings.html" label="System Settings" />
    26    <view inputState="Translated" program="map" locator="MAP_IOB_TABLE" contextTags="FPGA_ONLY" type="IOBProperties" file="SWITCH_GEN_map.xrpt" showConstraints="0" label="IOB Properties" />
    27    <view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Control_Sets" file="SWITCH_GEN_map.xrpt" label="Control Set Information" />
    28    <view inputState="Translated" program="map" locator="MAP_MODULE_HIERARCHY" contextTags="FPGA_ONLY" type="Module_Utilization" file="SWITCH_GEN_map.xrpt" label="Module Level Utilization" />
    29    <view inputState="Mapped" program="par" locator="CONSTRAINT_TABLE" contextTags="FPGA_ONLY" type="ConstraintsData" file="SWITCH_GEN.ptwx" showConstraints="0" label="Timing Constraints" translator="ptwxToTableXML.xslt" />
    30    <view inputState="Mapped" program="par" locator="PAR_PINOUT_BY_PIN_NUMBER" contextTags="FPGA_ONLY" type="PinoutData" file="SWITCH_GEN_par.xrpt" showConstraints="0" label="Pinout Report" />
    31    <view inputState="Mapped" program="par" locator="PAR_CLOCK_TABLE" contextTags="FPGA_ONLY" type="ClocksData" file="SWITCH_GEN_par.xrpt" showConstraints="0" label="Clock Report" />
    32    <view inputState="Mapped" program="par" contextTags="FPGA_ONLY,EDK_OFF" type="Timing_Analyzer" file="SWITCH_GEN.twx" label="Static Timing" />
    33    <view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="SWITCH_GEN_html/fit/report.htm" label="CPLD Fitter Report" />
    34    <view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="SWITCH_GEN_html/tim/report.htm" label="CPLD Timing Report" />
     24    <table-item tableState="CollapsedTable" tableKey="SecondaryReports" />
     25    <table-item tableState="CollapsedTable" tableKey="DetailedReports" />
     26   </view>
     27   <view inputState="Unknown" program="implementation" contextTags="FPGA_ONLY" hidden="true" type="HTML" file="DMA_ARBITER_envsettings.html" label="System Settings" />
     28   <view inputState="Translated" program="map" locator="MAP_IOB_TABLE" contextTags="FPGA_ONLY" type="IOBProperties" file="DMA_ARBITER_map.xrpt" showConstraints="0" label="IOB Properties" />
     29   <view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Control_Sets" file="DMA_ARBITER_map.xrpt" label="Control Set Information" />
     30   <view inputState="Translated" program="map" locator="MAP_MODULE_HIERARCHY" contextTags="FPGA_ONLY" type="Module_Utilization" file="DMA_ARBITER_map.xrpt" label="Module Level Utilization" />
     31   <view inputState="Mapped" program="par" locator="CONSTRAINT_TABLE" contextTags="FPGA_ONLY" type="ConstraintsData" file="DMA_ARBITER.ptwx" showConstraints="0" label="Timing Constraints" translator="ptwxToTableXML.xslt" />
     32   <view inputState="Mapped" program="par" locator="PAR_PINOUT_BY_PIN_NUMBER" contextTags="FPGA_ONLY" type="PinoutData" file="DMA_ARBITER_par.xrpt" showConstraints="0" label="Pinout Report" />
     33   <view inputState="Mapped" program="par" locator="PAR_CLOCK_TABLE" contextTags="FPGA_ONLY" type="ClocksData" file="DMA_ARBITER_par.xrpt" showConstraints="0" label="Clock Report" />
     34   <view inputState="Mapped" program="par" contextTags="FPGA_ONLY,EDK_OFF" type="Timing_Analyzer" file="DMA_ARBITER.twx" label="Static Timing" />
     35   <view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="DMA_ARBITER_html/fit/report.htm" label="CPLD Fitter Report" />
     36   <view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="EXTERNAL_HTML" file="DMA_ARBITER_html/tim/report.htm" label="CPLD Timing Report" />
    3537  </viewgroup>
    3638  <viewgroup label="XPS Errors and Warnings" >
     
    4547   <view inputState="PreSynthesized" program="simgen" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="simgen.log" label="Simgen Log File" />
    4648   <view inputState="PreSynthesized" program="bitinit" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="bitinit.log" label="BitInit Log File" />
    47    <view inputState="PreSynthesized" program="system" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="SWITCH_GEN.log" label="System Log File" />
     49   <view inputState="PreSynthesized" program="system" contextTags="EDK_ON" hidden="true" type="Secondary_Report" file="DMA_ARBITER.log" label="System Log File" />
    4850  </viewgroup>
    4951  <viewgroup label="Errors and Warnings" >
     
    6163  </viewgroup>
    6264  <viewgroup label="Detailed Reports" >
    63    <view program="xst" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="Report" file="SWITCH_GEN.syr" label="Synthesis Report" >
     65   <view program="xst" contextTags="XST_ONLY,EDK_OFF" hidden="false" type="Report" file="DMA_ARBITER.syr" label="Synthesis Report" >
    6466    <toc-item title="Top of Report" target="Copyright " searchDir="Forward" />
    6567    <toc-item title="Synthesis Options Summary" target="   Synthesis Options Summary   " />
     
    8789    <toc-item title="Cross Clock Domains Report" target="Cross Clock Domains Report:" subItemLevel="2" />
    8890   </view>
    89    <view program="synplify" contextTags="SYNPLIFY_ONLY,EDK_OFF" hidden="true" type="Report" file="SWITCH_GEN.srr" label="Synplify Report" />
    90    <view program="precision" contextTags="PRECISION_ONLY,EDK_OFF" hidden="true" type="Report" file="SWITCH_GEN.prec_log" label="Precision Report" />
    91    <view inputState="Synthesized" program="ngdbuild" type="Report" file="SWITCH_GEN.bld" label="Translation Report" >
     91   <view program="synplify" contextTags="SYNPLIFY_ONLY,EDK_OFF" hidden="true" type="Report" file="DMA_ARBITER.srr" label="Synplify Report" />
     92   <view program="precision" contextTags="PRECISION_ONLY,EDK_OFF" hidden="true" type="Report" file="DMA_ARBITER.prec_log" label="Precision Report" />
     93   <view inputState="Synthesized" program="ngdbuild" type="Report" file="DMA_ARBITER.bld" label="Translation Report" >
    9294    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    9395    <toc-item title="Command Line" target="Command Line:" />
     
    9597    <toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />
    9698   </view>
    97    <view inputState="Translated" program="map" contextTags="FPGA_ONLY" type="Report" file="SWITCH_GEN_map.mrp" label="Map Report" >
     99   <view inputState="Translated" program="map" contextTags="FPGA_ONLY" type="Report" file="DMA_ARBITER_map.mrp" label="Map Report" >
    98100    <toc-item title="Top of Report" target="Release" searchDir="Forward" />
    99101    <toc-item title="Section 1: Errors" target="Section 1 -" searchDir="Backward" />
     
    111113    <toc-item title="Section 13: Utilization by Hierarchy" target="Section 13 -" searchDir="Backward" />
    112114   </view>
    113    <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" type="Report" file="SWITCH_GEN.par" label="Place and Route Report" >
     115   <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" type="Report" file="DMA_ARBITER.par" label="Place and Route Report" >
    114116    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    115117    <toc-item title="Device Utilization" target="Device Utilization Summary:" />
     
    120122    <toc-item title="Final Summary" target="Peak Memory Usage:" />
    121123   </view>
    122    <view inputState="Routed" program="trce" contextTags="FPGA_ONLY" type="Report" file="SWITCH_GEN.twr" label="Post-PAR Static Timing Report" >
     124   <view inputState="Routed" program="trce" contextTags="FPGA_ONLY" type="Report" file="DMA_ARBITER.twr" label="Post-PAR Static Timing Report" >
    123125    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    124126    <toc-item title="Timing Report Description" target="Device,package,speed:" />
     
    131133    <toc-item title="Trace Settings" target="Trace Settings:" />
    132134   </view>
    133    <view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="SWITCH_GEN.rpt" label="CPLD Fitter Report (Text)" >
     135   <view inputState="Translated" program="cpldfit" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="DMA_ARBITER.rpt" label="CPLD Fitter Report (Text)" >
    134136    <toc-item title="Top of Report" target="cpldfit:" searchDir="Forward" />
    135137    <toc-item title="Resources Summary" target="**  Mapped Resource Summary  **" />
     
    137139    <toc-item title="Global Resources" target="** Global Control Resources **" />
    138140   </view>
    139    <view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="SWITCH_GEN.tim" label="CPLD Timing Report (Text)" >
     141   <view inputState="Fitted" program="taengine" contextTags="CPLD_ONLY,EDK_OFF" hidden="true" type="Report" file="DMA_ARBITER.tim" label="CPLD Timing Report (Text)" >
    140142    <toc-item title="Top of Report" target="Performance Summary Report" searchDir="Forward" />
    141143    <toc-item title="Performance Summary" target="Performance Summary:" />
    142144   </view>
    143    <view inputState="Routed" program="xpwr" contextTags="EDK_OFF" type="Report" file="SWITCH_GEN.pwr" label="Power Report" >
     145   <view inputState="Routed" program="xpwr" contextTags="EDK_OFF" type="Report" file="DMA_ARBITER.pwr" label="Power Report" >
    144146    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    145147    <toc-item title="Power summary" target="Power summary" />
    146148    <toc-item title="Thermal summary" target="Thermal summary" />
    147149   </view>
    148    <view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" type="Report" file="SWITCH_GEN.bgn" label="Bitgen Report" >
     150   <view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" type="Report" file="DMA_ARBITER.bgn" label="Bitgen Report" >
    149151    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    150152    <toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />
     
    154156  <viewgroup label="Secondary Reports" >
    155157   <view inputState="PreSynthesized" program="isim" hidden="if_missing" type="Secondary_Report" file="isim.log" label="ISIM Simulator Log" />
    156    <view inputState="Synthesized" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/synthesis/SWITCH_GEN_synthesis.nlf" label="Post-Synthesis Simulation Model Report" >
    157     <toc-item title="Top of Report" target="Release" searchDir="Forward" />
    158    </view>
    159    <view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/translate/SWITCH_GEN_translate.nlf" label="Post-Translate Simulation Model Report" >
    160     <toc-item title="Top of Report" target="Release" searchDir="Forward" />
    161    </view>
    162    <view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN_tran_fecn.nlf" label="Post-Translate Formality Netlist Report" />
    163    <view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="SWITCH_GEN_map.map" label="Map Log File" >
     158   <view inputState="Synthesized" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/synthesis/DMA_ARBITER_synthesis.nlf" label="Post-Synthesis Simulation Model Report" >
     159    <toc-item title="Top of Report" target="Release" searchDir="Forward" />
     160   </view>
     161   <view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/translate/DMA_ARBITER_translate.nlf" label="Post-Translate Simulation Model Report" >
     162    <toc-item title="Top of Report" target="Release" searchDir="Forward" />
     163   </view>
     164   <view inputState="Translated" program="netgen" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER_tran_fecn.nlf" label="Post-Translate Formality Netlist Report" />
     165   <view inputState="Translated" program="map" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="DMA_ARBITER_map.map" label="Map Log File" >
    164166    <toc-item title="Top of Report" target="Release" searchDir="Forward" />
    165167    <toc-item title="Design Information" target="Design Information" />
     
    167169   </view>
    168170   <view inputState="Routed" program="smartxplorer" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="smartxplorer_results/smartxplorer.txt" label="SmartXplorer Report" />
    169    <view inputState="Mapped" program="trce" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN_preroute.twr" label="Post-Map Static Timing Report" >
     171   <view inputState="Mapped" program="trce" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER_preroute.twr" label="Post-Map Static Timing Report" >
    170172    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    171173    <toc-item title="Timing Report Description" target="Device,package,speed:" />
     
    178180    <toc-item title="Trace Settings" target="Trace Settings:" />
    179181   </view>
    180    <view inputState="Mapped" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/map/SWITCH_GEN_map.nlf" label="Post-Map Simulation Model Report" />
    181    <view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN_map.psr" label="Physical Synthesis Report" >
    182     <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    183    </view>
    184    <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Pad_Report" file="SWITCH_GEN_pad.txt" label="Pad Report" >
    185     <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    186    </view>
    187    <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="SWITCH_GEN.unroutes" label="Unroutes Report" >
    188     <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    189    </view>
    190    <view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN_preroute.tsi" label="Post-Map Constraints Interaction Report" >
    191     <toc-item title="Top of Report" target="Release" searchDir="Forward" />
    192    </view>
    193    <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN.grf" label="Guide Results Report" />
    194    <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN.dly" label="Asynchronous Delay Report" />
    195    <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN.clk_rgn" label="Clock Region Report" />
    196    <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN.tsi" label="Post-Place and Route Constraints Interaction Report" >
    197     <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
    198    </view>
    199    <view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN_par_fecn.nlf" label="Post-Place and Route Formality Netlist Report" />
    200    <view inputState="Routed" program="netgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="netgen/par/SWITCH_GEN_timesim.nlf" label="Post-Place and Route Simulation Model Report" />
    201    <view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN_sta.nlf" label="Primetime Netlist Report" >
    202     <toc-item title="Top of Report" target="Release" searchDir="Forward" />
    203    </view>
    204    <view inputState="Routed" program="ibiswriter" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN.ibs" label="IBIS Model" >
     182   <view inputState="Mapped" program="netgen" hidden="if_missing" type="Secondary_Report" file="netgen/map/DMA_ARBITER_map.nlf" label="Post-Map Simulation Model Report" />
     183   <view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER_map.psr" label="Physical Synthesis Report" >
     184    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
     185   </view>
     186   <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Pad_Report" file="DMA_ARBITER_pad.txt" label="Pad Report" >
     187    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
     188   </view>
     189   <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="true" type="Secondary_Report" file="DMA_ARBITER.unroutes" label="Unroutes Report" >
     190    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
     191   </view>
     192   <view inputState="Mapped" program="map" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER_preroute.tsi" label="Post-Map Constraints Interaction Report" >
     193    <toc-item title="Top of Report" target="Release" searchDir="Forward" />
     194   </view>
     195   <view inputState="Mapped" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER.grf" label="Guide Results Report" />
     196   <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER.dly" label="Asynchronous Delay Report" />
     197   <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER.clk_rgn" label="Clock Region Report" />
     198   <view inputState="Routed" program="par" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER.tsi" label="Post-Place and Route Constraints Interaction Report" >
     199    <toc-item title="Top of Report" target="Copyright (c)" searchDir="Forward" />
     200   </view>
     201   <view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER_par_fecn.nlf" label="Post-Place and Route Formality Netlist Report" />
     202   <view inputState="Routed" program="netgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="netgen/par/DMA_ARBITER_timesim.nlf" label="Post-Place and Route Simulation Model Report" />
     203   <view inputState="Routed" program="netgen" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER_sta.nlf" label="Primetime Netlist Report" >
     204    <toc-item title="Top of Report" target="Release" searchDir="Forward" />
     205   </view>
     206   <view inputState="Routed" program="ibiswriter" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER.ibs" label="IBIS Model" >
    205207    <toc-item title="Top of Report" target="IBIS Models for" searchDir="Forward" />
    206208    <toc-item title="Component" target="Component " />
    207209   </view>
    208    <view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN.lck" label="Back-annotate Pin Report" >
     210   <view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER.lck" label="Back-annotate Pin Report" >
    209211    <toc-item title="Top of Report" target="pin2ucf Report File" searchDir="Forward" />
    210212    <toc-item title="Constraint Conflicts Information" target="Constraint Conflicts Information" />
    211213   </view>
    212    <view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="SWITCH_GEN.lpc" label="Locked Pin Constraints" >
     214   <view inputState="Routed" program="pin2ucf" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="DMA_ARBITER.lpc" label="Locked Pin Constraints" >
    213215    <toc-item title="Top of Report" target="top.lpc" searchDir="Forward" />
    214216    <toc-item title="Newly Added Constraints" target="The following constraints were newly added" />
    215217   </view>
    216    <view inputState="Translated" program="netgen" contextTags="CPLD_ONLY,EDK_OFF" hidden="if_missing" type="Secondary_Report" file="netgen/fit/SWITCH_GEN_timesim.nlf" label="Post-Fit Simulation Model Report" />
     218   <view inputState="Translated" program="netgen" contextTags="CPLD_ONLY,EDK_OFF" hidden="if_missing" type="Secondary_Report" file="netgen/fit/DMA_ARBITER_timesim.nlf" label="Post-Fit Simulation Model Report" />
    217219   <view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="HTML" file="usage_statistics_webtalk.html" label="WebTalk Report" />
    218220   <view inputState="Routed" program="bitgen" contextTags="FPGA_ONLY" hidden="if_missing" type="Secondary_Report" file="webtalk.log" label="WebTalk Log File" />
Note: See TracChangeset for help on using the changeset viewer.