Ignore:
Timestamp:
Apr 22, 2013, 11:35:01 AM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.03/sim_fifo.vhd

    r15 r64  
    11-- TestBench Template
    2 
     2-- ce module permet de tester le FIFO et de valider son fonctionnement.
    33  LIBRARY ieee;
    44  USE ieee.std_logic_1164.ALL;
    55  USE ieee.numeric_std.ALL;
    6 use work.CoreTypes.all;
     6  Library  NocLib ;
     7 use NocLib.CoreTypes.all;
    78  ENTITY testbench IS
    89  END testbench;
     
    1516  -- Component Declaration
    1617         
    17 component FIFO_256_FWFT
     18component FIFO_256_FWFT  --Le FIFO à tester
    1819        port (
    1920        clk: IN std_logic;
     
    2627        full: OUT std_logic);
    2728end component;
    28 component proto_receiv
     29component proto_receiv -- permet de tester la lecture dans le FIFO
    2930generic (sizemem : natural := 64);
    3031 port (
     
    4647  push : out std_logic:='0';
    4748 fifo_in : out std_logic_vector(Word-1 downto 0);
    48  snd_start : in std_logic; --début de la réception
    49  snd_ack :in std_logic;   -- acquittement de la réception
    50  snd_comp : out std_logic; -- fin de la réception
     49 snd_start : in std_logic; --début de l'emission
     50 snd_ack :in std_logic;   -- acquittement de l'émission
     51 snd_comp : out std_logic; -- fin de l'émission
    5152 mem :in memory(0 to sizemem-1));
    5253 
     
    132133        if rising_edge(clk) then
    133134        case pipo is
    134                 when fillmem =>
     135                when fillmem => -- remplissage de la mémoire d'envoie
    135136                if i=0 then
    136                 storage1(0)<=x"51";
     137                storage1(0)<=x"51"; -- le code de la fonction
    137138                elsif i=1 then
    138                 storage1(1)<=x"20";
    139                 elsif i< MSIZE-3 then
    140                 storage1(i)<=std_logic_vector(to_unsigned(i,Word));
     139                storage1(1)<=x"05"; -- le nombre d'octets à envoyer dans le tampon.
     140                elsif (i>=2) and (i<= MSIZE-2) then
     141                storage1(i)<=std_logic_vector(to_unsigned(i-2,Word));
    141142               
    142143                else
     
    147148                when send1 =>
    148149                       
    149                         Son<='1';
     150                        Son<='1'; --activer l'emission des données
    150151                        Sack<='0';
    151                         if i=10 then
     152                        if i=2 then --activer la réception des données
    152153                                Ron<='1';
    153154                        else
Note: See TracChangeset for help on using the changeset viewer.