Ignore:
Timestamp:
Apr 22, 2013, 11:35:33 AM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.03/Arbiter.vhd

    r22 r65  
    4646signal grant_signal : STD_LOGIC;
    4747--signal not_fifo_full : STD_LOGIC;
    48 signal Mask : STD_LOGIC;
     48signal Mask : STD_LOGIC:='0';
     49signal RNW : std_logic:='0';
    4950begin
    5051--Grant<=grant_signal; -- Grant n'a pas été déclarée InOut
    5152Mask <= P AND (not Fifo_full);
    52 process(Mask, Request, North, West)-- genere de la logique purement combinatoire
     53RNW<= Request And North And West;
     54process(Mask, RNW, North, West)-- genere de la logique purement combinatoire
    5355begin
    5456if Mask ='0' then --cellule inactive
     
    5759East <= '1';
    5860   else
    59         Grant <= Request And North And West;
    60         South <= (North) And (Not (Request And North And West));       
    61         East  <= (West)  And (Not (Request And North And West));
     61        Grant <= RNW;
     62        South <= (North) And (Not (RNW));       
     63        East  <= (West)  And (Not (RNW));
    6264end if;
    6365end process;
Note: See TracChangeset for help on using the changeset viewer.