Ignore:
Timestamp:
Apr 22, 2013, 11:35:33 AM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.03/Crossbar.vhd

    r22 r65  
    11----------------------------------------------------------------------------------
    22-- Company:
    3 -- Engineer: KIEGAING EMMANUEL
     3-- Engineer: KIEGAING EMMANUEL / GAMOM NGOUNOU
    44--
    55-- Create Date:    11:48:18 06/19/2011
     
    1616-- a été retiré les signaux inutilisées comme fp, port_source, etc...
    1717-- Revision 0.01 - File Created
    18 -- Additional Comments:
     18-- Revision 0.02 Ajout des signaux clk et reset
     19-- Additional Comments: pour la gestion du pipeline
    1920--
    2021----------------------------------------------------------------------------------
     
    3334    generic
    3435              (
    35                           number_of_crossbar_ports: positive := 4
     36                          number_of_crossbar_ports: positive := 8
    3637                        );
    37     Port ( Port1_in : in  STD_LOGIC_VECTOR (Word-1 downto 0);
     38    Port ( clk : in  STD_LOGIC;
     39                          reset : in  STD_LOGIC; --pour gérer le pipeline
     40                          Port1_in : in  STD_LOGIC_VECTOR (Word-1 downto 0);
    3841           Port2_in : in  STD_LOGIC_VECTOR (Word-1 downto 0);
    3942           Port3_in : in  STD_LOGIC_VECTOR (Word-1 downto 0);
     
    115118                          number_of_ports : positive := 4
    116119                        );
    117     Port ( Control : in  STD_LOGIC_VECTOR (number_of_ports*number_of_ports downto 1);
     120    Port ( clk,reset : in std_logic;
     121                          Control : in  STD_LOGIC_VECTOR (number_of_ports*number_of_ports downto 1);
    118122           Data_In : in  STD_LOGIC_VECTOR (number_of_ports downto 1);
    119123           Data_out : out  STD_LOGIC_VECTOR (number_of_ports downto 1));
    120124        END COMPONENT; 
    121        
     125signal ctrl_buf:        STD_LOGIC_VECTOR (number_of_crossbar_ports*number_of_crossbar_ports downto 1):=(others=>'0');
     126--signal ctrl_chg:std_logic:='0';
    122127begin
    123128-- La matrice interconnectee
    124129-- le circuit genere depend du parametre generique nombre de ports
    125 
     130--ctrl_chg<=all_zeros(ctrl_buf xor ctrl); --sur chaque changement du mot de contrôle, mettre à jour ce registre
     131--ctrl_proc:process(ctrl)
     132--      begin
     133        ctrl_buf<=ctrl;
     134--      end process;
    126135--======================crossbar 2 ports=======================
    127136
     
    133142      GENERIC MAP(number_of_ports => 2)
    134143      PORT MAP(
    135 
    136       Control => Ctrl,
     144                reset => reset,
     145                clk =>clk,
     146     
     147                 Control => Ctrl_buf,
    137148       Data_In(1) => Port1_in(0),
    138149       Data_In(2) => Port2_in(0),
     
    146157      GENERIC MAP(number_of_ports => 2)
    147158      PORT MAP(
    148 
    149       Control => Ctrl,
     159                reset => reset,
     160                clk =>clk,
     161
     162                 Control => Ctrl_buf,
    150163       Data_In(1) => Port1_in(1),
    151164       Data_In(2) => Port2_in(1),
     
    159172      GENERIC MAP(number_of_ports => 2)
    160173      PORT MAP(
    161 
    162       Control => Ctrl,
     174                reset => reset,
     175                clk =>clk,
     176
     177                 Control => Ctrl_buf,
    163178       Data_In(1) => Port1_in(2),
    164179       Data_In(2) => Port2_in(2),
     
    172187      GENERIC MAP(number_of_ports => 2)
    173188      PORT MAP(
    174 
    175       Control => Ctrl,
     189                reset => reset,
     190                clk =>clk,
     191
     192                 Control => Ctrl_buf,
    176193       Data_In(1) => Port1_in(3),
    177194       Data_In(2) => Port2_in(3),
     
    186203      PORT MAP(
    187204
    188       Control => Ctrl,
     205                reset => reset,
     206                clk =>clk,
     207
     208                 Control => Ctrl_buf,
    189209       Data_In(1) => Port1_in(4),
    190210       Data_In(2) => Port2_in(4),
     
    199219      PORT MAP(
    200220
    201       Control => Ctrl,
     221      reset => reset,
     222                 clk=>clk,
     223                 Control => Ctrl_buf,
    202224       Data_In(1) => Port1_in(5),
    203225       Data_In(2) => Port2_in(5),
     
    212234      PORT MAP(
    213235
    214       Control => Ctrl,
     236      reset => reset,
     237                 clk=>clk,
     238                 Control => Ctrl_buf,
    215239       Data_In(1) => Port1_in(6),
    216240       Data_In(2) => Port2_in(6),
     
    225249      PORT MAP(
    226250
    227       Control => Ctrl,
     251      reset => reset,
     252                 clk=>clk,
     253                 Control => Ctrl_buf,
    228254       Data_In(1) => Port1_in(7),
    229255       Data_In(2) => Port2_in(7),
     
    237263      GENERIC MAP(number_of_ports => 2)
    238264      PORT MAP(
    239 
    240       Control => Ctrl,
     265                reset => reset,
     266                clk =>clk,
     267
     268                 Control => Ctrl_buf,
    241269       Data_In(1) => Port1_pulse_in,
    242270       Data_In(2) => Port2_pulse_in,
     
    258286      PORT MAP(
    259287
    260       Control => Ctrl,
     288                reset => reset,
     289                clk =>clk,
     290
     291                 Control => Ctrl_buf,
    261292       Data_In(1) => Port1_in(0),
    262293       Data_In(2) => Port2_in(0),
     
    272303      GENERIC MAP(number_of_ports => 3)
    273304      PORT MAP(
    274 
    275       Control => Ctrl,
     305                reset => reset,
     306                clk =>clk,
     307     
     308                 Control => Ctrl_buf,
    276309       Data_In(1) => Port1_in(1),
    277310       Data_In(2) => Port2_in(1),
     
    288321      PORT MAP(
    289322
    290       Control => Ctrl,
     323      reset => reset,
     324                 clk=>clk,
     325                 Control => Ctrl_buf,
    291326       Data_In(1) => Port1_in(2),
    292327       Data_In(2) => Port2_in(2),
     
    302337      GENERIC MAP(number_of_ports => 3)
    303338      PORT MAP(
    304 
    305       Control => Ctrl,
     339                reset => reset,
     340                clk =>clk,
     341     
     342                 Control => Ctrl_buf,
    306343       Data_In(1) => Port1_in(3),
    307344       Data_In(2) => Port2_in(3),
     
    318355      PORT MAP(
    319356
    320       Control => Ctrl,
     357      reset => reset,
     358                 clk=>clk,
     359                 Control => Ctrl_buf,
    321360       Data_In(1) => Port1_in(4),
    322361       Data_In(2) => Port2_in(4),
     
    333372      PORT MAP(
    334373
    335       Control => Ctrl,
     374      reset => reset,
     375                 clk=>clk,
     376                 Control => Ctrl_buf,
    336377       Data_In(1) => Port1_in(5),
    337378       Data_In(2) => Port2_in(5),
     
    348389      PORT MAP(
    349390
    350       Control => Ctrl,
     391                reset => reset,
     392                clk =>clk,
     393     
     394                 Control => Ctrl_buf,
    351395       Data_In(1) => Port1_in(6),
    352396       Data_In(2) => Port2_in(6),
     
    362406      GENERIC MAP(number_of_ports => 3)
    363407      PORT MAP(
    364 
    365       Control => Ctrl,
     408                reset => reset,
     409                clk =>clk,
     410
     411                 Control => Ctrl_buf,
    366412       Data_In(1) => Port1_in(7),
    367413       Data_In(2) => Port2_in(7),
     
    377423      GENERIC MAP(number_of_ports => 3)
    378424      PORT MAP(
    379 
    380       Control => Ctrl,
     425                reset => reset,
     426                clk =>clk,
     427
     428                 Control => Ctrl_buf,
    381429       Data_In(1) => Port1_pulse_in,
    382430       Data_In(2) => Port2_pulse_in,
     
    400448      PORT MAP(
    401449
    402       Control => Ctrl,
     450      reset => reset,
     451                 clk=>clk,
     452                 Control => Ctrl_buf,
    403453       Data_In(1) => Port1_in(0),
    404454       Data_In(2) => Port2_in(0),
     
    417467      PORT MAP(
    418468
    419       Control => Ctrl,
     469      reset => reset,
     470                 clk=>clk,
     471                 Control => Ctrl_buf,
    420472       Data_In(1) => Port1_in(1),
    421473       Data_In(2) => Port2_in(1),
     
    434486      PORT MAP(
    435487
    436       Control => Ctrl,
     488      reset => reset,
     489                 clk=>clk,
     490                 Control => Ctrl_buf,
    437491       Data_In(1) => Port1_in(2),
    438492       Data_In(2) => Port2_in(2),
     
    451505      PORT MAP(
    452506
    453       Control => Ctrl,
     507      reset => reset,
     508                 clk=>clk,
     509                 Control => Ctrl_buf,
    454510       Data_In(1) => Port1_in(3),
    455511       Data_In(2) => Port2_in(3),
     
    468524      PORT MAP(
    469525
    470       Control => Ctrl,
     526      reset => reset,
     527                 clk=>clk,
     528                 Control => Ctrl_buf,
    471529       Data_In(1) => Port1_in(4),
    472530       Data_In(2) => Port2_in(4),
     
    485543      PORT MAP(
    486544
    487       Control => Ctrl,
     545      reset => reset,
     546                 clk=>clk,
     547                 Control => Ctrl_buf,
    488548       Data_In(1) => Port1_in(5),
    489549       Data_In(2) => Port2_in(5),
     
    502562      PORT MAP(
    503563
    504       Control => Ctrl,
     564      reset => reset,
     565                 clk=>clk,
     566                 Control => Ctrl_buf,
    505567       Data_In(1) => Port1_in(6),
    506568       Data_In(2) => Port2_in(6),
     
    519581      PORT MAP(
    520582
    521       Control => Ctrl,
     583      reset => reset,
     584                 clk=>clk,
     585                 Control => Ctrl_buf,
    522586       Data_In(1) => Port1_in(7),
    523587       Data_In(2) => Port2_in(7),
     
    536600      PORT MAP(
    537601
    538       Control => Ctrl,
     602      reset => reset,
     603                 clk=>clk,
     604                 Control => Ctrl_buf,
    539605       Data_In(1) => Port1_pulse_in,
    540606       Data_In(2) => Port2_pulse_in,
     
    560626      PORT MAP(
    561627
    562       Control => Ctrl,
     628      reset => reset,
     629                 clk=>clk,
     630                 Control => Ctrl_buf,
    563631       Data_In(1) => Port1_in(0),
    564632       Data_In(2) => Port2_in(0),
     
    579647      PORT MAP(
    580648
    581       Control => Ctrl,
     649      reset => reset,
     650                 clk=>clk,
     651                 Control => Ctrl_buf,
    582652       Data_In(1) => Port1_in(1),
    583653       Data_In(2) => Port2_in(1),
     
    598668      PORT MAP(
    599669
    600       Control => Ctrl,
     670      reset => reset,
     671                 clk=>clk,
     672                 Control => Ctrl_buf,
    601673       Data_In(1) => Port1_in(2),
    602674       Data_In(2) => Port2_in(2),
     
    617689      PORT MAP(
    618690
    619       Control => Ctrl,
     691      reset => reset,
     692                 clk=>clk,
     693                 Control => Ctrl_buf,
    620694       Data_In(1) => Port1_in(3),
    621695       Data_In(2) => Port2_in(3),
     
    636710      PORT MAP(
    637711
    638       Control => Ctrl,
     712      reset => reset,
     713                 clk=>clk,
     714                 Control => Ctrl_buf,
    639715       Data_In(1) => Port1_in(4),
    640716       Data_In(2) => Port2_in(4),
     
    655731      PORT MAP(
    656732
    657       Control => Ctrl,
     733      reset => reset,
     734                 clk=>clk,
     735                 Control => Ctrl_buf,
    658736       Data_In(1) => Port1_in(5),
    659737       Data_In(2) => Port2_in(5),
     
    674752      PORT MAP(
    675753
    676       Control => Ctrl,
     754      reset => reset,
     755                 clk=>clk,
     756                 Control => Ctrl_buf,
    677757       Data_In(1) => Port1_in(6),
    678758       Data_In(2) => Port2_in(6),
     
    693773      PORT MAP(
    694774
    695       Control => Ctrl,
     775      reset => reset,
     776                 clk=>clk,
     777                 Control => Ctrl_buf,
    696778       Data_In(1) => Port1_in(7),
    697779       Data_In(2) => Port2_in(7),
     
    712794      PORT MAP(
    713795
    714       Control => Ctrl,
     796      reset => reset,
     797                 clk=>clk,
     798                 Control => Ctrl_buf,
    715799       Data_In(1) => Port1_pulse_in,
    716800       Data_In(2) => Port2_pulse_in,
     
    738822      PORT MAP(
    739823
    740       Control => Ctrl,
     824      reset => reset,
     825                 clk=>clk,
     826                 Control => Ctrl_buf,
    741827       Data_In(1) => Port1_in(0),
    742828       Data_In(2) => Port2_in(0),
     
    759845      PORT MAP(
    760846
    761       Control => Ctrl,
     847      reset => reset,
     848                 clk=>clk,
     849                 Control => Ctrl_buf,
    762850       Data_In(1) => Port1_in(1),
    763851       Data_In(2) => Port2_in(1),
     
    780868      PORT MAP(
    781869
    782       Control => Ctrl,
     870      reset => reset,
     871                 clk=>clk,
     872                 Control => Ctrl_buf,
    783873       Data_In(1) => Port1_in(2),
    784874       Data_In(2) => Port2_in(2),
     
    801891      PORT MAP(
    802892
    803       Control => Ctrl,
     893      reset => reset,
     894                 clk=>clk,
     895                 Control => Ctrl_buf,
    804896       Data_In(1) => Port1_in(3),
    805897       Data_In(2) => Port2_in(3),
     
    822914      PORT MAP(
    823915
    824       Control => Ctrl,
     916      reset => reset,
     917                 clk=>clk,
     918                 Control => Ctrl_buf,
    825919       Data_In(1) => Port1_in(4),
    826920       Data_In(2) => Port2_in(4),
     
    843937      PORT MAP(
    844938
    845       Control => Ctrl,
     939      reset => reset,
     940                 clk=>clk,
     941                 Control => Ctrl_buf,
    846942       Data_In(1) => Port1_in(5),
    847943       Data_In(2) => Port2_in(5),
     
    864960      PORT MAP(
    865961
    866       Control => Ctrl,
     962      reset => reset,
     963                 clk=>clk,
     964                 Control => Ctrl_buf,
    867965       Data_In(1) => Port1_in(6),
    868966       Data_In(2) => Port2_in(6),
     
    885983      PORT MAP(
    886984
    887       Control => Ctrl,
     985      reset => reset,
     986                 clk=>clk,
     987                 Control => Ctrl_buf,
    888988       Data_In(1) => Port1_in(7),
    889989       Data_In(2) => Port2_in(7),
     
    9061006      PORT MAP(
    9071007
    908       Control => Ctrl,
     1008      reset => reset,
     1009                 clk=>clk,
     1010                 Control => Ctrl_buf,
    9091011       Data_In(1) => Port1_pulse_in,
    9101012       Data_In(2) => Port2_pulse_in,
     
    9341036      PORT MAP(
    9351037
    936       Control => Ctrl,
     1038      reset => reset,
     1039                 clk=>clk,
     1040                 Control => Ctrl_buf,
    9371041       Data_In(1) => Port1_in(0),
    9381042       Data_In(2) => Port2_in(0),
     
    9571061      PORT MAP(
    9581062
    959       Control => Ctrl,
     1063      reset => reset,
     1064                 clk=>clk,
     1065                 Control => Ctrl_buf,
    9601066       Data_In(1) => Port1_in(1),
    9611067       Data_In(2) => Port2_in(1),
     
    9801086      PORT MAP(
    9811087
    982       Control => Ctrl,
     1088      reset => reset,
     1089                 clk=>clk,
     1090                 Control => Ctrl_buf,
    9831091       Data_In(1) => Port1_in(2),
    9841092       Data_In(2) => Port2_in(2),
     
    10031111      PORT MAP(
    10041112
    1005       Control => Ctrl,
     1113      reset => reset,
     1114                 clk=>clk,
     1115                 Control => Ctrl_buf,
    10061116       Data_In(1) => Port1_in(3),
    10071117       Data_In(2) => Port2_in(3),
     
    10261136      PORT MAP(
    10271137
    1028       Control => Ctrl,
     1138      reset => reset,
     1139                 clk=>clk,
     1140                 Control => Ctrl_buf,
    10291141       Data_In(1) => Port1_in(4),
    10301142       Data_In(2) => Port2_in(4),
     
    10491161      PORT MAP(
    10501162
    1051       Control => Ctrl,
     1163      reset => reset,
     1164                 clk=>clk,
     1165                 Control => Ctrl_buf,
    10521166       Data_In(1) => Port1_in(5),
    10531167       Data_In(2) => Port2_in(5),
     
    10721186      PORT MAP(
    10731187
    1074       Control => Ctrl,
     1188      reset => reset,
     1189                 clk=>clk,
     1190                 Control => Ctrl_buf,
    10751191       Data_In(1) => Port1_in(6),
    10761192       Data_In(2) => Port2_in(6),
     
    10951211      PORT MAP(
    10961212
    1097       Control => Ctrl,
     1213      reset => reset,
     1214                 clk=>clk,
     1215                 Control => Ctrl_buf,
    10981216       Data_In(1) => Port1_in(7),
    10991217       Data_In(2) => Port2_in(7),
     
    11181236      PORT MAP(
    11191237
    1120       Control => Ctrl,
     1238      reset => reset,
     1239                 clk=>clk,
     1240                 Control => Ctrl_buf,
    11211241       Data_In(1) => Port1_pulse_in,
    11221242       Data_In(2) => Port2_pulse_in,
     
    11471267      GENERIC MAP(number_of_ports => 8)
    11481268      PORT MAP(
    1149 
    1150       Control => Ctrl,
     1269                clk =>clk,
     1270                reset =>reset,
     1271   
     1272                 Control => Ctrl_buf,
    11511273       Data_In(1) => Port1_in(0),
    11521274       Data_In(2) => Port2_in(0),
     
    11721294      GENERIC MAP(number_of_ports => 8)
    11731295      PORT MAP(
    1174 
    1175       Control => Ctrl,
     1296                clk =>clk,
     1297                reset =>reset,
     1298     
     1299                 Control => Ctrl_buf,
    11761300       Data_In(1) => Port1_in(1),
    11771301       Data_In(2) => Port2_in(1),
     
    11971321      GENERIC MAP(number_of_ports => 8)
    11981322      PORT MAP(
    1199 
    1200       Control => Ctrl,
     1323                clk =>clk,
     1324                reset =>reset,
     1325     
     1326                 Control => Ctrl_buf,
    12011327       Data_In(1) => Port1_in(2),
    12021328       Data_In(2) => Port2_in(2),
     
    12221348      GENERIC MAP(number_of_ports => 8)
    12231349      PORT MAP(
    1224 
    1225       Control => Ctrl,
     1350                clk =>clk,
     1351                reset =>reset,
     1352
     1353                 Control => Ctrl_buf,
    12261354       Data_In(1) => Port1_in(3),
    12271355       Data_In(2) => Port2_in(3),
     
    12471375      GENERIC MAP(number_of_ports => 8)
    12481376      PORT MAP(
    1249 
    1250       Control => Ctrl,
     1377                clk =>clk,
     1378                reset =>reset,
     1379
     1380                 Control => Ctrl_buf,
    12511381       Data_In(1) => Port1_in(4),
    12521382       Data_In(2) => Port2_in(4),
     
    12721402      GENERIC MAP(number_of_ports => 8)
    12731403      PORT MAP(
    1274 
    1275       Control => Ctrl,
     1404                clk =>clk,
     1405                reset =>reset,
     1406
     1407                 Control => Ctrl_buf,
    12761408       Data_In(1) => Port1_in(5),
    12771409       Data_In(2) => Port2_in(5),
     
    12971429      GENERIC MAP(number_of_ports => 8)
    12981430      PORT MAP(
    1299 
    1300       Control => Ctrl,
     1431                clk =>clk,
     1432                reset =>reset,
     1433
     1434                 Control => Ctrl_buf,
    13011435       Data_In(1) => Port1_in(6),
    13021436       Data_In(2) => Port2_in(6),
     
    13221456      GENERIC MAP(number_of_ports => 8)
    13231457      PORT MAP(
    1324 
    1325       Control => Ctrl,
     1458                clk =>clk,
     1459                reset =>reset,
     1460 
     1461                 Control => Ctrl_buf,
    13261462       Data_In(1) => Port1_in(7),
    13271463       Data_In(2) => Port2_in(7),
     
    13471483      GENERIC MAP(number_of_ports => 8)
    13481484      PORT MAP(
    1349 
    1350       Control => Ctrl,
     1485                clk =>clk,
     1486                reset =>reset,
     1487
     1488                 Control => Ctrl_buf,
    13511489       Data_In(1) => Port1_pulse_in,
    13521490       Data_In(2) => Port2_pulse_in,
     
    13791517      GENERIC MAP(number_of_ports => 9)
    13801518      PORT MAP(
    1381 
    1382       Control => Ctrl,
     1519                clk =>clk,
     1520                reset =>reset,
     1521
     1522                 Control => Ctrl_buf,
    13831523       Data_In(1) => Port1_in(0),
    13841524       Data_In(2) => Port2_in(0),
     
    14061546      GENERIC MAP(number_of_ports => 9)
    14071547      PORT MAP(
    1408 
    1409       Control => Ctrl,
     1548                clk =>clk,
     1549                reset =>reset,
     1550   
     1551                 Control => Ctrl_buf,
    14101552       Data_In(1) => Port1_in(1),
    14111553       Data_In(2) => Port2_in(1),
     
    14331575      GENERIC MAP(number_of_ports => 9)
    14341576      PORT MAP(
    1435 
    1436       Control => Ctrl,
     1577                clk =>clk,
     1578                reset =>reset,
     1579
     1580                 Control => Ctrl_buf,
    14371581       Data_In(1) => Port1_in(2),
    14381582       Data_In(2) => Port2_in(2),
     
    14601604      GENERIC MAP(number_of_ports => 9)
    14611605      PORT MAP(
    1462 
    1463       Control => Ctrl,
     1606                clk =>clk,
     1607                reset =>reset,
     1608 
     1609                 Control => Ctrl_buf,
    14641610       Data_In(1) => Port1_in(3),
    14651611       Data_In(2) => Port2_in(3),
     
    14871633      GENERIC MAP(number_of_ports => 9)
    14881634      PORT MAP(
    1489 
    1490       Control => Ctrl,
     1635                clk =>clk,
     1636                reset =>reset,
     1637 
     1638                 Control => Ctrl_buf,
    14911639       Data_In(1) => Port1_in(4),
    14921640       Data_In(2) => Port2_in(4),
     
    15141662      GENERIC MAP(number_of_ports => 9)
    15151663      PORT MAP(
    1516 
    1517       Control => Ctrl,
     1664                clk =>clk,
     1665                reset =>reset,
     1666 
     1667                 Control => Ctrl_buf,
    15181668       Data_In(1) => Port1_in(5),
    15191669       Data_In(2) => Port2_in(5),
     
    15411691      GENERIC MAP(number_of_ports => 9)
    15421692      PORT MAP(
    1543 
    1544       Control => Ctrl,
     1693                clk =>clk,
     1694                reset =>reset,
     1695 
     1696                 Control => Ctrl_buf,
    15451697       Data_In(1) => Port1_in(6),
    15461698       Data_In(2) => Port2_in(6),
     
    15681720      GENERIC MAP(number_of_ports => 9)
    15691721      PORT MAP(
    1570 
    1571       Control => Ctrl,
     1722                clk =>clk,
     1723                reset =>reset,
     1724     
     1725                 Control => Ctrl_buf,
    15721726       Data_In(1) => Port1_in(7),
    15731727       Data_In(2) => Port2_in(7),
     
    15951749      GENERIC MAP(number_of_ports => 9)
    15961750      PORT MAP(
    1597 
    1598       Control => Ctrl,
     1751                clk =>clk,
     1752                reset =>reset,
     1753   
     1754                 Control => Ctrl_buf,
    15991755       Data_In(1) => Port1_pulse_in,
    16001756       Data_In(2) => Port2_pulse_in,
     
    16301786      PORT MAP(
    16311787
    1632       Control => Ctrl,
     1788      reset => reset,
     1789                 clk=>clk,
     1790                 Control => Ctrl_buf,
    16331791       Data_In(1) => Port1_in(0),
    16341792       Data_In(2) => Port2_in(0),
     
    16591817      PORT MAP(
    16601818
    1661       Control => Ctrl,
     1819      reset => reset,
     1820                 clk=>clk,
     1821                 Control => Ctrl_buf,
    16621822       Data_In(1) => Port1_in(1),
    16631823       Data_In(2) => Port2_in(1),
     
    16881848      PORT MAP(
    16891849
    1690       Control => Ctrl,
     1850      reset => reset,
     1851                 clk=>clk,
     1852                 Control => Ctrl_buf,
    16911853       Data_In(1) => Port1_in(2),
    16921854       Data_In(2) => Port2_in(2),
     
    17171879      PORT MAP(
    17181880
    1719       Control => Ctrl,
     1881      reset => reset,
     1882                 clk=>clk,
     1883                 Control => Ctrl_buf,
    17201884       Data_In(1) => Port1_in(3),
    17211885       Data_In(2) => Port2_in(3),
     
    17461910      PORT MAP(
    17471911
    1748       Control => Ctrl,
     1912      reset => reset,
     1913                 clk=>clk,
     1914                 Control => Ctrl_buf,
    17491915       Data_In(1) => Port1_in(4),
    17501916       Data_In(2) => Port2_in(4),
     
    17751941      PORT MAP(
    17761942
    1777       Control => Ctrl,
     1943      reset => reset,
     1944                 clk=>clk,
     1945                 Control => Ctrl_buf,
    17781946       Data_In(1) => Port1_in(5),
    17791947       Data_In(2) => Port2_in(5),
     
    18041972      PORT MAP(
    18051973
    1806       Control => Ctrl,
     1974      reset => reset,
     1975                 clk=>clk,
     1976                 Control => Ctrl_buf,
    18071977       Data_In(1) => Port1_in(6),
    18081978       Data_In(2) => Port2_in(6),
     
    18332003      PORT MAP(
    18342004
    1835       Control => Ctrl,
     2005      reset => reset,
     2006                 clk=>clk,
     2007                 Control => Ctrl_buf,
    18362008       Data_In(1) => Port1_in(7),
    18372009       Data_In(2) => Port2_in(7),
     
    18622034      PORT MAP(
    18632035
    1864       Control => Ctrl,
     2036      reset => reset,
     2037                 clk=>clk,
     2038                 Control => Ctrl_buf,
    18652039       Data_In(1) => Port1_pulse_in,
    18662040       Data_In(2) => Port2_pulse_in,
     
    18982072      PORT MAP(
    18992073
    1900       Control => Ctrl,
     2074      reset => reset,
     2075                 clk=>clk,
     2076                 Control => Ctrl_buf,
    19012077       Data_In(1) => Port1_in(0),
    19022078       Data_In(2) => Port2_in(0),
     
    19292105      PORT MAP(
    19302106
    1931       Control => Ctrl,
     2107      reset => reset,
     2108                 clk=>clk,
     2109                 Control => Ctrl_buf,
    19322110       Data_In(1) => Port1_in(1),
    19332111       Data_In(2) => Port2_in(1),
     
    19602138      PORT MAP(
    19612139
    1962       Control => Ctrl,
     2140      reset => reset,
     2141                 clk=>clk,
     2142                 Control => Ctrl_buf,
    19632143       Data_In(1) => Port1_in(2),
    19642144       Data_In(2) => Port2_in(2),
     
    19912171      PORT MAP(
    19922172
    1993       Control => Ctrl,
     2173      reset => reset,
     2174 clk=>clk,
     2175
     2176                 Control => Ctrl_buf,
    19942177       Data_In(1) => Port1_in(3),
    19952178       Data_In(2) => Port2_in(3),
     
    20222205      PORT MAP(
    20232206
    2024       Control => Ctrl,
     2207      reset => reset,
     2208                 clk=>clk,
     2209                 Control => Ctrl_buf,
    20252210       Data_In(1) => Port1_in(4),
    20262211       Data_In(2) => Port2_in(4),
     
    20532238      PORT MAP(
    20542239
    2055       Control => Ctrl,
     2240      reset => reset,
     2241                 clk=>clk,
     2242
     2243                 Control => Ctrl_buf,
    20562244       Data_In(1) => Port1_in(5),
    20572245       Data_In(2) => Port2_in(5),
     
    20842272      PORT MAP(
    20852273
    2086       Control => Ctrl,
     2274      reset => reset,
     2275                 clk=>clk,
     2276
     2277                 Control => Ctrl_buf,
    20872278       Data_In(1) => Port1_in(6),
    20882279       Data_In(2) => Port2_in(6),
     
    21152306      PORT MAP(
    21162307
    2117       Control => Ctrl,
     2308      reset => reset,
     2309                 clk=>clk,
     2310
     2311                 Control => Ctrl_buf,
    21182312       Data_In(1) => Port1_in(7),
    21192313       Data_In(2) => Port2_in(7),
     
    21462340      PORT MAP(
    21472341
    2148       Control => Ctrl,
     2342      reset => reset,
     2343                 clk=>clk,
     2344
     2345                 Control => Ctrl_buf,
    21492346       Data_In(1) => Port1_pulse_in,
    21502347       Data_In(2) => Port2_pulse_in,
     
    21842381      PORT MAP(
    21852382
    2186       Control => Ctrl,
     2383      reset => reset,
     2384                 clk=>clk,
     2385
     2386                 Control => Ctrl_buf,
    21872387       Data_In(1) => Port1_in(0),
    21882388       Data_In(2) => Port2_in(0),
     
    22172417      PORT MAP(
    22182418
    2219       Control => Ctrl,
     2419      reset => reset,
     2420                 clk=>clk,
     2421 
     2422                 Control => Ctrl_buf,
    22202423       Data_In(1) => Port1_in(1),
    22212424       Data_In(2) => Port2_in(1),
     
    22502453      PORT MAP(
    22512454
    2252       Control => Ctrl,
     2455      reset => reset,
     2456                 clk=>clk,
     2457
     2458                 Control => Ctrl_buf,
    22532459       Data_In(1) => Port1_in(2),
    22542460       Data_In(2) => Port2_in(2),
     
    22832489      PORT MAP(
    22842490
    2285       Control => Ctrl,
     2491      reset => reset,
     2492                 clk=>clk,
     2493
     2494                 Control => Ctrl_buf,
    22862495       Data_In(1) => Port1_in(3),
    22872496       Data_In(2) => Port2_in(3),
     
    23162525      PORT MAP(
    23172526
    2318       Control => Ctrl,
     2527      reset => reset,
     2528                 clk=>clk,
     2529               
     2530                 Control => Ctrl_buf,
    23192531       Data_In(1) => Port1_in(4),
    23202532       Data_In(2) => Port2_in(4),
     
    23492561      PORT MAP(
    23502562
    2351       Control => Ctrl,
     2563      reset => reset,
     2564                 clk=>clk,
     2565               
     2566                 Control => Ctrl_buf,
    23522567       Data_In(1) => Port1_in(5),
    23532568       Data_In(2) => Port2_in(5),
     
    23822597      PORT MAP(
    23832598
    2384       Control => Ctrl,
     2599      reset => reset,
     2600                 clk=>clk,
     2601               
     2602                 Control => Ctrl_buf,
    23852603       Data_In(1) => Port1_in(6),
    23862604       Data_In(2) => Port2_in(6),
     
    24152633      PORT MAP(
    24162634
    2417       Control => Ctrl,
     2635      reset => reset,
     2636                 clk=>clk,
     2637
     2638                 Control => Ctrl_buf,
    24182639       Data_In(1) => Port1_in(7),
    24192640       Data_In(2) => Port2_in(7),
     
    24482669      PORT MAP(
    24492670
    2450       Control => Ctrl,
     2671      reset => reset,
     2672                 clk=>clk,
     2673
     2674                 Control => Ctrl_buf,
    24512675       Data_In(1) => Port1_pulse_in,
    24522676       Data_In(2) => Port2_pulse_in,
     
    24882712      PORT MAP(
    24892713
    2490       Control => Ctrl,
     2714      reset => reset,
     2715                 clk=>clk,
     2716                 Control => Ctrl_buf,
    24912717       Data_In(1) => Port1_in(0),
    24922718       Data_In(2) => Port2_in(0),
     
    25232749      PORT MAP(
    25242750
    2525       Control => Ctrl,
     2751      reset => reset,
     2752                 clk=>clk,
     2753
     2754                 Control => Ctrl_buf,
    25262755       Data_In(1) => Port1_in(1),
    25272756       Data_In(2) => Port2_in(1),
     
    25582787      PORT MAP(
    25592788
    2560       Control => Ctrl,
     2789      reset => reset,
     2790                 clk=>clk,
     2791                 
     2792                 Control => Ctrl_buf,
    25612793       Data_In(1) => Port1_in(2),
    25622794       Data_In(2) => Port2_in(2),
     
    25932825      PORT MAP(
    25942826
    2595       Control => Ctrl,
     2827      reset => reset,
     2828                 clk=>clk,
     2829                 Control => Ctrl_buf,
    25962830       Data_In(1) => Port1_in(3),
    25972831       Data_In(2) => Port2_in(3),
     
    26282862      PORT MAP(
    26292863
    2630       Control => Ctrl,
     2864      reset => reset,
     2865                 clk=>clk,
     2866                 Control => Ctrl_buf,
    26312867       Data_In(1) => Port1_in(4),
    26322868       Data_In(2) => Port2_in(4),
     
    26632899      PORT MAP(
    26642900
    2665       Control => Ctrl,
     2901      reset => reset,
     2902                 clk=>clk,
     2903                 Control => Ctrl_buf,
    26662904       Data_In(1) => Port1_in(5),
    26672905       Data_In(2) => Port2_in(5),
     
    26982936      PORT MAP(
    26992937
    2700       Control => Ctrl,
     2938      reset => reset,
     2939                 clk=>clk,
     2940                 Control => Ctrl_buf,
    27012941       Data_In(1) => Port1_in(6),
    27022942       Data_In(2) => Port2_in(6),
     
    27332973      PORT MAP(
    27342974
    2735       Control => Ctrl,
     2975      reset => reset,
     2976                 clk=>clk,
     2977                 Control => Ctrl_buf,
    27362978       Data_In(1) => Port1_in(7),
    27372979       Data_In(2) => Port2_in(7),
     
    27683010      PORT MAP(
    27693011
    2770       Control => Ctrl,
     3012      reset => reset,
     3013                 clk=>clk,
     3014                 Control => Ctrl_buf,
    27713015       Data_In(1) => Port1_pulse_in,
    27723016       Data_In(2) => Port2_pulse_in,
     
    28103054      PORT MAP(
    28113055
    2812       Control => Ctrl,
     3056      reset => reset,
     3057                 clk=>clk,
     3058                 Control => Ctrl_buf,
    28133059       Data_In(1) => Port1_in(0),
    28143060       Data_In(2) => Port2_in(0),
     
    28473093      PORT MAP(
    28483094
    2849       Control => Ctrl,
     3095      reset => reset,
     3096                 clk=>clk,
     3097                 Control => Ctrl_buf,
    28503098       Data_In(1) => Port1_in(1),
    28513099       Data_In(2) => Port2_in(1),
     
    28843132      PORT MAP(
    28853133
    2886       Control => Ctrl,
     3134      reset => reset,
     3135                 clk=>clk,
     3136                 Control => Ctrl_buf,
    28873137       Data_In(1) => Port1_in(2),
    28883138       Data_In(2) => Port2_in(2),
     
    29213171      PORT MAP(
    29223172
    2923       Control => Ctrl,
     3173      reset => reset,
     3174                 clk=>clk,
     3175                 Control => Ctrl_buf,
    29243176       Data_In(1) => Port1_in(3),
    29253177       Data_In(2) => Port2_in(3),
     
    29583210      PORT MAP(
    29593211
    2960       Control => Ctrl,
     3212      reset => reset,
     3213                 clk=>clk,
     3214                 Control => Ctrl_buf,
    29613215       Data_In(1) => Port1_in(4),
    29623216       Data_In(2) => Port2_in(4),
     
    29953249      PORT MAP(
    29963250
    2997       Control => Ctrl,
     3251      reset => reset,
     3252                 clk=>clk,
     3253                 Control => Ctrl_buf,
    29983254       Data_In(1) => Port1_in(5),
    29993255       Data_In(2) => Port2_in(5),
     
    30323288      PORT MAP(
    30333289
    3034       Control => Ctrl,
     3290      reset => reset,
     3291                 clk=>clk,
     3292                 Control => Ctrl_buf,
    30353293       Data_In(1) => Port1_in(6),
    30363294       Data_In(2) => Port2_in(6),
     
    30693327      PORT MAP(
    30703328
    3071       Control => Ctrl,
     3329      reset => reset,
     3330                 clk=>clk,
     3331                 Control => Ctrl_buf,
    30723332       Data_In(1) => Port1_in(7),
    30733333       Data_In(2) => Port2_in(7),
     
    31063366      PORT MAP(
    31073367
    3108       Control => Ctrl,
     3368      reset => reset,
     3369                 clk=>clk,
     3370                 Control => Ctrl_buf,
    31093371       Data_In(1) => Port1_pulse_in,
    31103372       Data_In(2) => Port2_pulse_in,
     
    31503412      PORT MAP(
    31513413
    3152       Control => Ctrl,
     3414      reset => reset,
     3415                 clk=>clk,
     3416                 Control => Ctrl_buf,
    31533417       Data_In(1) => Port1_in(0),
    31543418       Data_In(2) => Port2_in(0),
     
    31893453      PORT MAP(
    31903454
    3191       Control => Ctrl,
     3455      reset => reset,
     3456                 clk=>clk,
     3457                 Control => Ctrl_buf,
    31923458       Data_In(1) => Port1_in(1),
    31933459       Data_In(2) => Port2_in(1),
     
    32283494      PORT MAP(
    32293495
    3230       Control => Ctrl,
     3496      reset => reset,
     3497                 clk=>clk,
     3498                 Control => Ctrl_buf,
    32313499       Data_In(1) => Port1_in(2),
    32323500       Data_In(2) => Port2_in(2),
     
    32673535      PORT MAP(
    32683536
    3269       Control => Ctrl,
     3537      reset => reset,
     3538                 clk=>clk,
     3539                 Control => Ctrl_buf,
    32703540       Data_In(1) => Port1_in(3),
    32713541       Data_In(2) => Port2_in(3),
     
    33063576      PORT MAP(
    33073577
    3308       Control => Ctrl,
     3578      reset => reset,
     3579                 clk=>clk,
     3580                 Control => Ctrl_buf,
    33093581       Data_In(1) => Port1_in(4),
    33103582       Data_In(2) => Port2_in(4),
     
    33453617      PORT MAP(
    33463618
    3347       Control => Ctrl,
     3619      reset => reset,
     3620                 clk=>clk,
     3621                 Control => Ctrl_buf,
    33483622       Data_In(1) => Port1_in(5),
    33493623       Data_In(2) => Port2_in(5),
     
    33843658      PORT MAP(
    33853659
    3386       Control => Ctrl,
     3660      reset => reset,
     3661                 clk=>clk,
     3662                 Control => Ctrl_buf,
    33873663       Data_In(1) => Port1_in(6),
    33883664       Data_In(2) => Port2_in(6),
     
    34233699      PORT MAP(
    34243700
    3425       Control => Ctrl,
     3701      reset => reset,
     3702                 clk=>clk,
     3703                 Control => Ctrl_buf,
    34263704       Data_In(1) => Port1_in(7),
    34273705       Data_In(2) => Port2_in(7),
     
    34623740      PORT MAP(
    34633741
    3464       Control => Ctrl,
     3742      reset => reset,
     3743                 clk=>clk,
     3744                 Control => Ctrl_buf,
    34653745       Data_In(1) => Port1_pulse_in,
    34663746       Data_In(2) => Port2_pulse_in,
     
    35083788      PORT MAP(
    35093789
    3510       Control => Ctrl,
     3790      reset => reset,
     3791                 clk=>clk,
     3792                 Control => Ctrl_buf,
    35113793       Data_In(1) => Port1_in(0),
    35123794       Data_In(2) => Port2_in(0),
     
    35493831      PORT MAP(
    35503832
    3551       Control => Ctrl,
     3833      reset => reset,
     3834                 clk=>clk,
     3835                 Control => Ctrl_buf,
    35523836       Data_In(1) => Port1_in(1),
    35533837       Data_In(2) => Port2_in(1),
     
    35903874      PORT MAP(
    35913875
    3592       Control => Ctrl,
     3876      reset => reset,
     3877                 clk=>clk,
     3878                 Control => Ctrl_buf,
    35933879       Data_In(1) => Port1_in(2),
    35943880       Data_In(2) => Port2_in(2),
     
    36313917      PORT MAP(
    36323918
    3633       Control => Ctrl,
     3919      reset => reset,
     3920                 clk=>clk,
     3921                 Control => Ctrl_buf,
    36343922       Data_In(1) => Port1_in(3),
    36353923       Data_In(2) => Port2_in(3),
     
    36723960      PORT MAP(
    36733961
    3674       Control => Ctrl,
     3962      reset => reset,
     3963                 clk=>clk,
     3964                 Control => Ctrl_buf,
    36753965       Data_In(1) => Port1_in(4),
    36763966       Data_In(2) => Port2_in(4),
     
    37134003      PORT MAP(
    37144004
    3715       Control => Ctrl,
     4005      reset => reset,
     4006                 clk=>clk,
     4007                 Control => Ctrl_buf,
    37164008       Data_In(1) => Port1_in(5),
    37174009       Data_In(2) => Port2_in(5),
     
    37544046      PORT MAP(
    37554047
    3756       Control => Ctrl,
     4048      reset => reset,
     4049                 clk=>clk,
     4050                 Control => Ctrl_buf,
    37574051       Data_In(1) => Port1_in(6),
    37584052       Data_In(2) => Port2_in(6),
     
    37954089      PORT MAP(
    37964090
    3797       Control => Ctrl,
     4091      reset => reset,
     4092                 clk=>clk,
     4093                 Control => Ctrl_buf,
    37984094       Data_In(1) => Port1_in(7),
    37994095       Data_In(2) => Port2_in(7),
     
    38364132      PORT MAP(
    38374133
    3838       Control => Ctrl,
     4134      reset => reset,
     4135                 clk=>clk,
     4136                 Control => Ctrl_buf,
    38394137       Data_In(1) => Port1_pulse_in,
    38404138       Data_In(2) => Port2_pulse_in,
Note: See TracChangeset for help on using the changeset viewer.