Ignore:
Timestamp:
Dec 20, 2013, 9:20:36 PM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.03/INPUT_PORT_MODULE.vhd

    r65 r71  
    552552                                                                                        ReadOk<='0';
    553553                                                                                        pop_state<=stateErr;
    554                                                                                         data_counter<=(others => '0');
     554                                                                                        --data_counter<=(others => '0');
    555555                                                                                end if;
    556556                                                                        else
     
    605605                                                                dat_request_decoder_en <= '1';          --autoriser le decodeur activer le dernier bit de request
    606606                                                                dat_data_out_pulse <= '0';     --transmettre le signal pour le dernier mot
    607                                                                 dat_priority_rotation <= '0';
     607                                                                dat_priority_rotation <= Port_granted; --dès qu'on a la priorité on la garde
    608608                                                                dat_exec<='1';
    609609                                                                dat_Err<='0';
Note: See TracChangeset for help on using the changeset viewer.