Ignore:
Timestamp:
Jan 15, 2014, 2:40:01 AM (11 years ago)
Author:
rolagamo
Message:
 
File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v1.00/modelsim.ini

    r72 r74  
    2424; Default or value of 2 or 2002 for VHDL-2002.
    2525; Value of 3 or 2008 for VHDL-2008
    26 VHDL93 = 2002
     26VHDL93 = 93
    2727
    2828; Show source line containing error. Default is off.
     
    189189; FsmResetTrans = 0
    190190
     191NoDebug = 0
     192CheckSynthesis = 0
     193NoVitalCheck = 0
     194Optimize_1164 = 1
     195NoVital = 0
     196Quiet = 0
     197Show_source = 0
     198DisableOpt = 0
     199ZeroIn = 0
     200CoverageNoSub = 0
     201NoCoverage = 0
     202CoverCells = 0
     203CoverExcludeDefault = 0
     204CoverageFEC = 1
     205CoverageShortCircuit = 0
     206CoverOpt = 3
     207Show_Warning1 = 1
     208Show_Warning2 = 1
     209Show_Warning3 = 1
     210Show_Warning4 = 1
     211Show_Warning5 = 1
     212Coverage = sbce
    191213[vlog]
    192214; Turn off inclusion of debugging info within design units.
     
    413435; PrintSVPackageLoadingAttribute = 1
    414436
     437vlog95compat = 0
     438Vlog01Compat = 0
     439Svlog = 0
     440CoverCells = 0
     441CoverExcludeDefault = 0
     442CoverageFEC = 0
     443CoverageShortCircuit = 0
     444CoverOpt = 3
     445OptionFile = C:/Core MPI/CORE_MPI/vlog.opt
     446Quiet = 0
     447Show_source = 0
     448Protect = 0
     449NoDebug = 0
     450Hazard = 0
     451UpCase = 0
     452DisableOpt = 0
     453ZeroIn = 0
     454Coverage = sbce
    415455[sccom]
    416456; Enable use of SCV include files and library.  Default is off.
     
    433473; UseScMs = 1
    434474
     475UseScv = 0
     476UseScMs = 0
     477CppOptions =   
     478SccomVerbose = 0
    435479[vopt]
    436480; Turn on code coverage in vopt.  Default is off.
Note: See TracChangeset for help on using the changeset viewer.