Ignore:
Timestamp:
Mar 3, 2014, 4:47:59 PM (10 years ago)
Author:
szahmed
Message:

Added Headline comments for Verilog files explaining their brief properties, version number etc.

File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_SMART_EEG/trunk/hw/sync_sys/synchro/synchro.v

    r84 r89  
    1 // synchro.v
    2 
    3 // This file was auto-generated as a prototype implementation of a module
    4 // created in component editor.  It ties off all outputs to ground and
    5 // ignores all inputs.  It needs to be edited to make it do something
    6 // useful.
    7 //
    8 // This file will not be automatically regenerated.  You should check it in
    9 // to your version control system if you want to keep it.
     1/********************************************************************
     2 *                    COPYRIGHT LIP6 2014
     3 *-----------------------------------------------------------------*/
     4/**
     5 * @file    synchro.v
     6 * @brief   Creats video trigger, time-stamp/sync clock and rest signal (for ETIS)
     7 *
     8 * This module generates the Video trigger signal for the Camera at the choosen fps. It also generates the time-stamp/sync clock that is
     9 * used by both Acquision (ETIS) and Compression (Lip6) boards for time-stamp counters along with a start signal whic resets the time-stamp
     10 * counters when the acquisition start command comes from PC (Acacia). The value of time-stamp register is transmitted to the Video coder via AvalonST source
     11 *
     12 * @author  S. Z. Ahmed         <syed-zahid.ahmed@lip6.fr>
     13 * @author  L. Lambert          <laurent.lambert@lip6.fr>
     14 * @date    Fri. 28 Feb. 2014
     15 *
     16 * Revision History
     17 * @version  V1.0  Fri. 28 Feb. 2014 {Initial Arch.: Template file created with qsys gui}
     18 *
     19 *******************************************************************/
    1020
    1121`timescale 1 ps / 1 ps
    1222module synchro (
    13                 input  wire [7:0]  avs_s0_address,        //           s0.address
    14                 input  wire        avs_s0_read,           //             .read
    15                 output wire [31:0] avs_s0_readdata,       //             .readdata
    16                 input  wire        avs_s0_write,          //             .write
    17                 input  wire [31:0] avs_s0_writedata,      //             .writedata
    18                 output wire        avs_s0_waitrequest,    //             .waitrequest
     23                input  wire [7:0]  avs_ctrl_address,        //           ctrl.address
     24                input  wire        avs_ctrl_read,           //             .read
     25                output wire [31:0] avs_ctrl_readdata,       //             .readdata
     26                input  wire        avs_ctrl_write,          //             .write
     27                input  wire [31:0] avs_ctrl_writedata,      //             .writedata
     28                output wire        avs_ctrl_waitrequest,    //             .waitrequest
    1929                input  wire        clk,                   //        clock.clk
    2030                input  wire        reset,                 //        reset.reset
     
    2939        // TODO: Auto-generated HDL template
    3040
    31         assign avs_s0_waitrequest = 1'b0;
     41        assign avs_ctrl_waitrequest = 1'b0;
    3242
    33         assign avs_s0_readdata = 32'b00000000000000000000000000000000;
     43        assign avs_ctrl_readdata = 32'b00000000000000000000000000000000;
    3444
    3545        assign video_trigger = 1'b0;
Note: See TracChangeset for help on using the changeset viewer.