source: vis_dev/vis-2.1/examples/minmax/README @ 11

Last change on this file since 11 was 11, checked in by cecile, 13 years ago

Add vis

File size: 389 bytes
Line 
1$Id: README,v 1.1 1997/03/02 02:03:36 fabio Exp $
2
3MinMax circuit. Translated into Verilog from the LDS description in
4"Verification of Sequential Machines Using Boolean Functional Vectors"
5by Coudert, Berthet, and Madre.
6
7The VIS script computes the reachable states and check the reachability
8of a reset state from the other reachable states.
9
10Author: Fabio Somenzi <Fabio@Colorado.EDU>
Note: See TracBrowser for help on using the repository browser.