Ignore:
Timestamp:
Jan 19, 2008, 12:09:01 PM (16 years ago)
Author:
rosiere
Message:

Modification of Statisctics
Add a new systemC component : Load_Store_Queue (tested with one benchmark and one configuration). Store don't supported the Data Buss Error (Load is supported)

Location:
trunk/IPs/systemC/processor/Morpheo/Behavioural/src
Files:
23 added
46 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Parameters_test.cpp

    r43 r71  
    1717  {
    1818    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    19     string msg = msg_error();
     19    std::string msg = msg_error();
    2020   
    2121    if (msg.length() != 0)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics.cpp

    r53 r71  
    1515#undef  FUNCTION
    1616#define FUNCTION "Statistics::Statistics"
    17   Statistics::Statistics  (string                  name                 ,
     17  Statistics::Statistics  (std::string                  name                 ,
    1818                           Parameters_Statistics * parameters_statistics):
    1919    _name                  (name                 ),
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics_generate_file.cpp

    r43 r71  
    1212#include <sstream>
    1313#include <fstream>
    14 using namespace std;
    1514
    1615namespace morpheo              {
     
    1918#undef  FUNCTION
    2019#define FUNCTION "Statistics::generate_file"
    21   void Statistics::generate_file(string stat)
     20  void Statistics::generate_file(std::string stat)
    2221  {
    2322    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2423
    25     ostringstream filename;
     24    std::stringstream filename;
    2625    filename << _name << ".stat";
    2726
    28     cout << "Generate file \""<< filename.str() << "\"" << endl;
     27    std::cout << "Generate file \""<< filename.str() << "\"" << std::endl;
    2928
    30     ofstream file;
    31     file.open(filename.str().c_str(),ios::out | ios::trunc);
     29    std::ofstream file;
     30    file.open(filename.str().c_str(),std::ios::out | std::ios::trunc);
    3231
    3332    time_t current_time;
     
    3534
    3635    // print header
    37     file << "<!-- "                                                    << endl
    38          << "\tfile        : " << filename.str()                       << endl
     36    file << "<!-- "                                                    << std::endl
     37         << "\tfile        : " << filename.str()                       << std::endl
    3938         << "\tdate        : " << ctime (&current_time )
    40          << "\tcomment     : it's a autogenerated file : don't modify" << endl
    41          << "-->"                                                      << endl
    42          <<                                                               endl;
     39         << "\tcomment     : it's a autogenerated file : don't modify" << std::endl
     40         << "-->"                                                      << std::endl
     41         <<                                                               std::endl;
    4342         
    4443    file << stat;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl.cpp

    r43 r71  
    1515#undef  FUNCTION
    1616#define FUNCTION "Vhdl::Vhdl"
    17   Vhdl::Vhdl  (string                name):
     17  Vhdl::Vhdl  (std::string name):
    1818    _name   (name)
    1919  {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_direction_toString.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::direction_toString"
    20   string Vhdl::direction_toString (direction_t direction)
     19  std::string Vhdl::direction_toString (direction_t direction)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2322
    24     string _return;
     23    std::string _return;
    2524    switch (direction)
    2625      {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_generate_file_model.cpp

    r15 r71  
    1111#include <sstream>
    1212#include <fstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    2019    log_printf(FUNC,Behavioural,"generate_file_model","Begin");
    2120
    22     string filename = _name + ".vhdl";
     21    std::string filename = _name + ".vhdl";
    2322   
    2423    log_printf(TRACE,Behavioural,"generate_file_model","print %s",filename.c_str());
    25     cout << "Generate file \""<< filename << "\"" << endl;
     24    std::cout << "Generate file \""<< filename << "\"" << std::endl;
    2625
    2726    log_printf(TRACE,Behavioural,"generate_file_model","declaration");
    28     ofstream file;
     27    std::ofstream file;
    2928
    3029    log_printf(TRACE,Behavioural,"generate_file_model","open file");
    31     file.open(filename.c_str(),ios::out | ios::trunc);
     30    file.open(filename.c_str(),std::ios::out | std::ios::trunc);
    3231
    3332    log_printf(TRACE,Behavioural,"generate_file_model","get model");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_generate_file_package.cpp

    r2 r71  
    1111#include <sstream>
    1212#include <fstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    2019    log_printf(FUNC,Behavioural,"generate_file_package","Begin");
    2120
    22     string name     = _name + "_Pack";
    23     string filename =  name + ".vhdl";
     21    std::string name     = _name + "_Pack";
     22    std::string filename =  name + ".vhdl";
    2423
    25     cout << "Generate file \""<< filename << "\"" << endl;
     24    std::cout << "Generate file \""<< filename << "\"" << std::endl;
    2625
    27     ofstream file;
    28     file.open(filename.c_str(),ios::out | ios::trunc);
     26    std::ofstream file;
     27    file.open(filename.c_str(),std::ios::out | std::ios::trunc);
    2928
    3029    file << get_package (0,filename, name, _name);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_alias.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_alias"
    20   string Vhdl::get_alias (uint32_t depth)
     19  std::string Vhdl::get_alias (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    23     string _return = get_list(_list_alias, depth, ";", true);
     22    std::string _return = get_list(_list_alias, depth, ";", true);
    2423    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2524
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_architecture.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_architecture"
    20   string Vhdl::get_architecture (uint32_t depth      ,
    21                                  string   name       ,
    22                                  string   entity_name)
     19  std::string Vhdl::get_architecture (uint32_t depth      ,
     20                                 std::string   name       ,
     21                                 std::string   entity_name)
    2322  {
    2423    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2524
    26     string        tab = string(depth,'\t');
    27     ostringstream text;
     25    std::string        tab = std::string(depth,'\t');
     26    std::ostringstream text;
    2827
    29     text << tab << "architecture " << name << " of " << entity_name << " is" << endl
    30          << tab << get_type     (depth+1)                                    << endl
    31          << tab << get_signal   (depth+1)                                    << endl
    32          << tab << get_alias    (depth+1)                                    << endl
    33          << tab << "begin"                                                   << endl
    34          << tab << get_body     (depth+1)                                    << endl
    35          << tab << "end " << name << ";"                                     << endl;
     28    text << tab << "architecture " << name << " of " << entity_name << " is" << std::endl
     29         << tab << get_type     (depth+1)                                    << std::endl
     30         << tab << get_signal   (depth+1)                                    << std::endl
     31         << tab << get_alias    (depth+1)                                    << std::endl
     32         << tab << "begin"                                                   << std::endl
     33         << tab << get_body     (depth+1)                                    << std::endl
     34         << tab << "end " << name << ";"                                     << std::endl;
    3635
    3736    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_body.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_body"
    20   string Vhdl::get_body (uint32_t depth)
     19  std::string Vhdl::get_body (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    23     string _return = get_list(_list_body,depth,"",true);
     22    std::string _return = get_list(_list_body,depth,"",true);
    2423    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2524
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_component.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_component"
    20   string Vhdl::get_component(uint32_t depth,
    21                              string   name)
     19  std::string Vhdl::get_component(uint32_t depth,
     20                             std::string   name)
    2221  {
    2322    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2423
    25     string        tab = string(depth,'\t');
    26     ostringstream text;
     24    std::string        tab = std::string(depth,'\t');
     25    std::ostringstream text;
    2726
    28     text <<                                      endl
    29          << tab << "component " << name       << endl;
     27    text <<                                      std::endl
     28         << tab << "component " << name       << std::endl;
    3029    if (_list_port.empty() == false)
    31       text << tab << "\tport ("                 << endl
    32            << tab << get_port(depth+1)          << endl
    33            << tab << "\t     );"                << endl;
    34     text << tab << "end component;"           << endl;
    35  
     30      text << tab << "\tport ("                 << std::endl
     31           << tab << get_port(depth+1)          << std::endl
     32           << tab << "\t     );"                << std::endl;
     33    text << tab << "end component;"           << std::endl;
     34   
    3635    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3736    return text.str();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_entity.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_entity"
    20   string Vhdl::get_entity(uint32_t depth,
    21                           string   name)
     19  std::string Vhdl::get_entity(uint32_t depth,
     20                               std::string   name)
    2221  {
    2322    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2423
    25     string        tab = string(depth,'\t');
    26     ostringstream text;
     24    std::string        tab = std::string(depth,'\t');
     25    std::ostringstream text;
    2726
    28     text <<                                      endl
    29          << tab << "entity " << name << " is" << endl;
    30 
     27    text <<                                      std::endl
     28         << tab << "entity " << name << " is" << std::endl;
     29   
    3130    if (_list_port.empty() == false)
    32       text << tab << "\tport ("                 << endl
    33            << tab << get_port(depth+1)          << endl
    34            << tab << "\t     );"                << endl;
     31      text << tab << "\tport ("                 << std::endl
     32           << tab << get_port(depth+1)          << std::endl
     33           << tab << "\t     );"                << std::endl;
    3534   
    36     text << tab << "end " << name << ";"      << endl;
     35    text << tab << "end " << name << ";"      << std::endl;
    3736   
    3837    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_header.cpp

    r43 r71  
    1111#include <time.h>
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_header"
    20   string Vhdl::get_header(uint32_t depth,
    21                           string   filename)
     19  std::string Vhdl::get_header(uint32_t depth,
     20                          std::string   filename)
    2221  {
    2322    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2423
    25     string        tab = string(depth,'\t');
    26     ostringstream text;
     24    std::string        tab = std::string(depth,'\t');
     25    std::ostringstream text;
    2726
    2827    time_t current_time;
    2928    time (&current_time);
    3029
    31     text << tab << "-------------------------------------------------------------------------------" << endl
    32          << tab << "-- file      : " << filename                                                     << endl
     30    text << tab << "-------------------------------------------------------------------------------" << std::endl
     31         << tab << "-- file      : " << filename                                                     << std::endl
    3332         << tab << "-- date      : " << ctime (&current_time )
    34          << tab << "-- comment   : it's a autogenerated file : don't modify"                         << endl
    35          << tab << "-------------------------------------------------------------------------------" << endl;
     33         << tab << "-- comment   : it's a autogenerated file : don't modify"                         << std::endl
     34         << tab << "-------------------------------------------------------------------------------" << std::endl;
    3635   
    3736    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_ieee.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_library_ieee"
    20   string Vhdl::get_library_ieee (uint32_t depth)
     19  std::string Vhdl::get_library_ieee (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2322
    24     string        tab = string(depth,'\t');
    25     ostringstream text;
     23    std::string        tab = std::string(depth,'\t');
     24    std::ostringstream text;
    2625
    27     text << tab                                       << endl
    28          << tab << "library ieee;"                    << endl
    29          << tab << "use ieee.numeric_bit.all;"        << endl
    30          << tab << "use ieee.numeric_std.all;"        << endl
    31          << tab << "use ieee.std_logic_1164.all;"     << endl
    32          << tab << "use ieee.std_logic_arith.all;"    << endl
    33          << tab << "use ieee.std_logic_misc.all;"     << endl
    34          << tab << "--use ieee.std_logic_signed.all;"   << endl
    35          << tab << "use ieee.std_logic_unsigned.all;" << endl
    36          << tab << "--use ieee.std_logic_textio.all;"   << endl;
     26    text << tab                                       << std::endl
     27         << tab << "library ieee;"                    << std::endl
     28         << tab << "use ieee.numeric_bit.all;"        << std::endl
     29         << tab << "use ieee.numeric_std.all;"        << std::endl
     30         << tab << "use ieee.std_logic_1164.all;"     << std::endl
     31         << tab << "use ieee.std_logic_arith.all;"    << std::endl
     32         << tab << "use ieee.std_logic_misc.all;"     << std::endl
     33         << tab << "--use ieee.std_logic_signed.all;" << std::endl
     34         << tab << "use ieee.std_logic_unsigned.all;" << std::endl
     35         << tab << "--use ieee.std_logic_textio.all;" << std::endl;
    3736     
    3837    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_work.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_library_work"
    20   string Vhdl::get_library_work (uint32_t depth)
     19  std::string Vhdl::get_library_work (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2322
    24     list<string>::iterator i   = _list_library_work.begin();
    25     string                 tab = string(depth,'\t');
    26     ostringstream          text;
     23    std::list<std::string>::iterator i   = _list_library_work.begin();
     24    std::string                 tab = std::string(depth,'\t');
     25    std::ostringstream          text;
    2726
    2827    if (i != _list_library_work.end())
    29       text << tab                    << endl
    30            << tab << "library work;" << endl
     28      text << tab                    << std::endl
     29           << tab << "library work;" << std::endl
    3130           << get_list(_list_library_work,depth,";",true);
    3231
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_list.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_list"
    20   string Vhdl::get_list (list<string> liste                ,
    21                          uint32_t     depth                ,
    22                          string       separator            ,
    23                          bool         last_separator       )
     19  std::string Vhdl::get_list (std::list<std::string> liste                ,
     20                              uint32_t     depth                ,
     21                              std::string       separator            ,
     22                              bool         last_separator       )
    2423  {
    2524    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2625
    27     list<string>::iterator i     = liste.begin();
    28     bool                   empty = liste.empty();
     26    std::list<std::string>::iterator i     = liste.begin();
     27    bool                             empty = liste.empty();
    2928
    30     string                 tab   = string(depth,'\t');
    31     ostringstream          text;
     29    std::string                 tab   = std::string(depth,'\t');
     30    std::ostringstream          text;
    3231
    3332    if (not empty)
     
    4241        while (i != liste.end())
    4342          {
    44             text << separator << endl;
     43            text << separator << std::endl;
    4544            text << tab << *i;
    4645            ++i;
     
    4847       
    4948        if (last_separator)
    50           text << separator << endl;
     49          text << separator << std::endl;
    5150      }
    5251
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_model.cpp

    r2 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
    1615namespace behavioural          {
    1716 
    18   string Vhdl::get_model(uint32_t depth            ,
    19                          string   filename         ,
    20                          string   entity_name      ,
    21                          string   architecture_name)
     17  std::string Vhdl::get_model(uint32_t depth            ,
     18                         std::string   filename         ,
     19                         std::string   entity_name      ,
     20                         std::string   architecture_name)
    2221  {
    2322    log_printf(FUNC,Behavioural,"get_model","Begin");
    2423
    25     string        tab = string(depth,'\t');
    26     ostringstream text;
     24    std::string        tab = std::string(depth,'\t');
     25    std::ostringstream text;
    2726
    28     text << tab << get_header       (depth,filename)                      << endl
    29          << tab << get_library_ieee (depth)                               << endl
    30          << tab << get_library_work (depth)                               << endl
    31          << tab << get_entity       (depth,entity_name)                   << endl
    32          << tab << get_architecture (depth,architecture_name,entity_name) << endl;
     27    text << tab << get_header       (depth,filename)                      << std::endl
     28         << tab << get_library_ieee (depth)                               << std::endl
     29         << tab << get_library_work (depth)                               << std::endl
     30         << tab << get_entity       (depth,entity_name)                   << std::endl
     31         << tab << get_architecture (depth,architecture_name,entity_name) << std::endl;
    3332   
    3433    log_printf(FUNC,Behavioural,"get_model","End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_package.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_package"
    20   string Vhdl::get_package(uint32_t depth       ,
    21                            string   filename    ,
    22                            string   package_name,
    23                            string   entity_name )
     19  std::string Vhdl::get_package(uint32_t depth       ,
     20                           std::string   filename    ,
     21                           std::string   package_name,
     22                           std::string   entity_name )
    2423  {
    2524    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2625
    27     string        tab = string(depth,'\t');
    28     ostringstream text;
     26    std::string        tab = std::string(depth,'\t');
     27    std::ostringstream text;
    2928
    30     text << tab << get_header       (depth,filename)                      << endl
    31          << tab << get_library_ieee (depth)                               << endl
    32          << tab << "package " << package_name << " is"                    << endl
    33          << tab << get_component    (depth+1,entity_name)                 << endl
    34          << tab << "end " << package_name << ";"                          << endl;
     29    text << tab << get_header       (depth,filename)                      << std::endl
     30         << tab << get_library_ieee (depth)                               << std::endl
     31         << tab << "package " << package_name << " is"                    << std::endl
     32         << tab << get_component    (depth+1,entity_name)                 << std::endl
     33         << tab << "end " << package_name << ";"                          << std::endl;
    3534
    3635    log_printf(FUNC,Behavioural,FUNCTION,"End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_port.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_port"
    20   string Vhdl::get_port (uint32_t depth)
     19  std::string Vhdl::get_port (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    23     string _return = get_list (_list_port, depth, ";", false);   
     22    std::string _return = get_list (_list_port, depth, ";", false);   
    2423    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2524
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_signal.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_signal"
    20   string Vhdl::get_signal (uint32_t depth)
     19  std::string Vhdl::get_signal (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    23     string _return = get_list (_list_signal, depth, ";", true);
     22    std::string _return = get_list (_list_signal, depth, ";", true);
    2423    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2524
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_type.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_type"
    20   string Vhdl::get_type (uint32_t depth)
     19  std::string Vhdl::get_type (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    23     string _return = get_list (_list_type, depth, ";", true);
     22    std::string _return = get_list (_list_type, depth, ";", true);
    2423    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2524
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_alias.cpp

    r69 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_alias"
    20   void Vhdl::set_alias (string      name1 ,
    21                         string      type1 ,
    22                         string      name2 ,
    23                         string      range2)
     19  void Vhdl::set_alias (std::string      name1 ,
     20                        std::string      type1 ,
     21                        std::string      name2 ,
     22                        std::string      range2)
    2423  {
    2524    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2827  };
    2928
    30   void Vhdl::set_alias (string      name1 ,
     29  void Vhdl::set_alias (std::string      name1 ,
    3130                        uint32_t    size1 ,
    32                         string      name2 ,
    33                         string      range2)
     31                        std::string      name2 ,
     32                        std::string      range2)
    3433  {
    3534    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_body.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_body"
    20   void Vhdl::set_body (string      text     )
     19  void Vhdl::set_body (std::string      text     )
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_body_component.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_body_component"
    20   void Vhdl::set_body_component (string         name_instance      ,
    21                                  string         name_component     ,
    22                                  list<string>   list_port_map      )
     19  void Vhdl::set_body_component (std::string         name_instance      ,
     20                                 std::string         name_component     ,
     21                                 std::list<std::string>   list_port_map      )
    2322  {
    2423    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_body_component_port_map.cpp

    r65 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_body_component_port_map"
    20   void Vhdl::set_body_component_port_map (list<string> & list_port_map      ,
    21                                           string         name_port          ,
     19  void Vhdl::set_body_component_port_map (std::list<std::string> & list_port_map      ,
     20                                          std::string         name_port          ,
    2221                                          uint32_t       size_port          ,
    23                                           string         name_signal        ,
     22                                          std::string         name_signal        ,
    2423                                          uint32_t       size_signal        )
    2524  {
     
    2928      throw (ErrorMorpheo ("<Vhdl::set_body_component_port_map> size of port '"+name_port+"' ("+toString(size_port)+") is greater than size of signal '"+name_signal+"' ("+toString(size_signal)+")."));
    3029
    31     string str_size = "";
     30    std::string str_size = "";
    3231
    3332    // test if size is different (possible if multi write
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_constant.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_constant"
    20   void Vhdl::set_constant (string      name     ,
    21                            string      type     ,
    22                            string      init)
     19  void Vhdl::set_constant (std::string      name     ,
     20                           std::string      type     ,
     21                           std::string      init)
    2322  {
    2423    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2726  };
    2827
    29   void Vhdl::set_constant (string      name     ,
     28  void Vhdl::set_constant (std::string      name     ,
    3029                           uint32_t    size     ,
    31                            string      init)
     30                           std::string      init)
    3231  {
    3332    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    3635  };
    3736
    38   void Vhdl::set_constant (string      name     ,
     37  void Vhdl::set_constant (std::string      name     ,
    3938                           uint32_t    size     ,
    4039                           uint32_t    init)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_library_work.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_library_work"
    20   void Vhdl::set_library_work (string      package_name)
     19  void Vhdl::set_library_work (std::string      package_name)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_list.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_list"
    20   void Vhdl::set_list (list<string> & liste,
    21                        string         text )
     19  void Vhdl::set_list (std::list<std::string> & liste,
     20                       std::string         text )
    2221  {
    2322    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_port.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_port"
    20   void Vhdl::set_port (string      name     ,
     19  void Vhdl::set_port (std::string      name     ,
    2120                       direction_t direction,
    22                        string      type     )
     21                       std::string      type     )
    2322  {
    2423    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2726  };
    2827
    29   void Vhdl::set_port (string      name     ,
     28  void Vhdl::set_port (std::string      name     ,
    3029                       direction_t direction,
    3130                       uint32_t    size     )
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_signal.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1918#define FUNCTION "Vhdl::set_signal"
    2019
    21   void Vhdl::set_signal (string      name     ,
    22                          string      type     )
     20  void Vhdl::set_signal (std::string      name     ,
     21                         std::string      type     )
    2322  {
    2423    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2726  };
    2827
    29   void Vhdl::set_signal (string      name     ,
     28  void Vhdl::set_signal (std::string      name     ,
    3029                         uint32_t    size     )
    3130  {
     
    3534  }
    3635
    37   void Vhdl::set_signal (string      name     ,
    38                          string      type     ,
    39                          string      init)
     36  void Vhdl::set_signal (std::string      name     ,
     37                         std::string      type     ,
     38                         std::string      init)
    4039  {
    4140    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    4443  };
    4544
    46   void Vhdl::set_signal (string      name     ,
     45  void Vhdl::set_signal (std::string      name     ,
    4746                         uint32_t    size     ,
    48                          string      init     )
     47                         std::string      init     )
    4948  {
    5049    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    5251    log_printf(FUNC,Behavioural,FUNCTION,"End");
    5352  };
    54   void Vhdl::set_signal (string      name     ,
     53  void Vhdl::set_signal (std::string      name     ,
    5554                         uint32_t    size     ,
    5655                         uint32_t    init     )
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_type.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::set_type"
    20   void Vhdl::set_type (string      name     ,
    21                        string      type     )
     19  void Vhdl::set_type (std::string      name     ,
     20                       std::string      type     )
    2221  {
    2322    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_std_logic.cpp

    r67 r71  
    1616#undef  FUNCTION
    1717#define FUNCTION "Vhdl::std_logic"
    18   string std_logic (uint32_t size)
     18  std::string std_logic (uint32_t size)
    1919  {
    2020    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2121
    22     string type;
     22    std::string type;
    2323
    2424    if (size == 1)
     
    3434#undef  FUNCTION
    3535#define FUNCTION "Vhdl::std_logic_conv"
    36   string std_logic_conv (uint32_t size, string value)
     36  std::string std_logic_conv (uint32_t size, std::string value)
    3737  {
    3838    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    3939
    40     string conv;
     40    std::string conv;
    4141
    4242    if (size == 1)
     
    5050  };
    5151
    52   string std_logic_conv (uint32_t size, uint32_t value)
     52  std::string std_logic_conv (uint32_t size, uint32_t value)
    5353  {
    5454    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    55     cout << toString(value) << endl;
    56     string _return = std_logic_conv(size,toString(value));
     55    std::string _return = std_logic_conv(size,toString(value));
    5756    log_printf(FUNC,Behavioural,FUNCTION,"End");
    5857
     
    6261#undef  FUNCTION
    6362#define FUNCTION "Vhdl::std_logic_range"
    64   string std_logic_range (uint32_t size, uint32_t max, uint32_t min)
     63  std::string std_logic_range (uint32_t size, uint32_t max, uint32_t min)
    6564  {
    6665    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    67     string type;
     66    std::string type;
    6867
    6968    if (size < 2)
     
    8079  };
    8180
    82   string std_logic_range (uint32_t max, uint32_t min)
     81  std::string std_logic_range (uint32_t max, uint32_t min)
    8382  {
    8483    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    85     string type;
     84    std::string type;
    8685
    8786    if (max == 0)
     
    9897  };
    9998
    100   string std_logic_range (uint32_t size)
     99  std::string std_logic_range (uint32_t size)
    101100  {
    102101    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    103     string _return = std_logic_range(size-1,0);
     102    std::string _return = std_logic_range(size-1,0);
    104103    log_printf(FUNC,Behavioural,FUNCTION,"End");
    105104
     
    109108#undef  FUNCTION
    110109#define FUNCTION "Vhdl::std_logic_others"
    111   string std_logic_others (uint32_t size, uint32_t cst  )
     110  std::string std_logic_others (uint32_t size, uint32_t cst  )
    112111  {
    113112    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    114113
    115     string _return;
     114    std::string _return;
    116115
    117116    if (size < 2)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::XML"
    15   XML::XML  (string name) :
     15  XML::XML  (std::string name) :
    1616    _name (name)
    1717  {
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_attribut.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::attribut"
    15   bool XML::attribut (string name, string value)
     15  bool XML::attribut (std::string name, std::string value)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_balise_close.cpp

    r43 r71  
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    18     string name=*(_list_balise_name.begin());
     18    std::string name=*(_list_balise_name.begin());
    1919
    2020    _list_balise_name.pop_front();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_balise_open.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::balise_open"
    15   bool XML::balise_open (string name)
     15  bool XML::balise_open (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_balise_open_begin.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::balise_open_begin"
    15   bool XML::balise_open_begin (string name)
     15  bool XML::balise_open_begin (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_comment.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::comment"
    15   bool XML::comment (string texte)
     15  bool XML::comment (std::string texte)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_filename_extension.cpp

    r43 r71  
    88#include "Behavioural/include/XML.h"
    99#include <fstream>
    10 using namespace std;
    1110
    1211namespace morpheo              {
     
    1514#undef  FUNCTION
    1615#define FUNCTION "XML::filename_extension"
    17   void XML::filename_extension (string extension)
     16  void XML::filename_extension (std::string extension)
    1817  {
    1918    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_generate_file.cpp

    r43 r71  
    88#include "Behavioural/include/XML.h"
    99#include <fstream>
    10 using namespace std;
    1110
    1211namespace morpheo              {
     
    1514#undef  FUNCTION
    1615#define FUNCTION "XML::generate_file"
    17   void XML::generate_file (string encoding)
     16  void XML::generate_file (std::string encoding)
    1817  {
    1918    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2120    header (encoding);
    2221
    23     string name     = _name;
    24     string filename =  name + "." + _filename_extension;
     22    std::string filename =  _name + "." + _filename_extension;
    2523
    26     cout << "Generate file \""<< filename << "\"" << endl;
     24    std::cout << "Generate file \""<< filename << "\"" << std::endl;
    2725
    28     ofstream file;
    29     file.open(filename.c_str(),ios::out | ios::trunc);
     26    std::ofstream file;
     27    file.open(filename.c_str(),std::ios::out | std::ios::trunc);
    3028
    3129    file << get_body();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_get_body.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::get_body"
    15   string XML::get_body (void)
     15  std::string XML::get_body (void)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     
    2020  };
    2121
    22   string XML::get_body (uint32_t depth)
     22  std::string XML::get_body (uint32_t depth)
    2323  {
    2424    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2525
    26     string body       = _body;
    27     string tabulation = indent(depth);
     26    std::string body       = _body;
     27    std::string tabulation = indent(depth);
    2828
    29     body.insert(0,tabulation);
     29//     body.insert(0,tabulation);
    3030    for (size_t pos=body.find('\n',0); pos<body.length()-1; pos=body.find('\n',++pos))
    3131      body.insert(++pos,tabulation);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_header.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::header"
    15   void XML::header (string encoding)
     15  void XML::header (std::string encoding)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    18     _body = "<?xml version=\"1.0\" encoding=\""+encoding+"\" ?>\n" + _body;
     18
     19    time_t current_time;
     20    time (&current_time);
     21
     22    std::string str_time = ctime (&current_time );
     23
     24    std::string str;
     25
     26    str  = "<?xml version=\"1.0\" encoding=\""+encoding+"\" ?>\n";
     27    str += "\n";
     28    str += "<!--\n";
     29    str += "\tFile        : " + _name+"."+_filename_extension + "\n";
     30    str += "\tDate        : " + str_time +"\n";
     31    str += "\tComment     : it's a autogenerated file : don't modify\n";
     32    str += "-->\n";
     33    str += "\n";
     34
     35    _body = str + _body;
     36
    1937    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2038  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_indent.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::indent"
    15   string XML::indent (uint32_t depth)
     15  std::string XML::indent (uint32_t depth)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    18     string _return = string(depth,'\t');
     18    std::string _return = std::string(depth,'\t');
    1919    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2020
     
    2222  };
    2323
    24   string XML::indent (void)
     24  std::string XML::indent (void)
    2525  {
    2626    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    27     string _return = indent(depth());
     27    std::string _return = indent(depth());
    2828    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2929
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_singleton.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::singleton"
    15   bool XML::singleton (string name)
     15  bool XML::singleton (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_singleton_begin.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::singleton_begin"
    15   bool XML::singleton_begin (string name)
     15  bool XML::singleton_begin (std::string name)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_text.cpp

    r43 r71  
    1313#undef  FUNCTION
    1414#define FUNCTION "XML::text"
    15   bool XML::text (string text)
     15  bool XML::text (std::string text)
    1616  {
    1717    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
Note: See TracChangeset for help on using the changeset viewer.