Ignore:
Timestamp:
Jan 19, 2008, 12:09:01 PM (16 years ago)
Author:
rosiere
Message:

Modification of Statisctics
Add a new systemC component : Load_Store_Queue (tested with one benchmark and one configuration). Store don't supported the Data Buss Error (Load is supported)

File:
1 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_ieee.cpp

    r43 r71  
    1111
    1212#include <sstream>
    13 using namespace std;
    1413
    1514namespace morpheo              {
     
    1817#undef  FUNCTION
    1918#define FUNCTION "Vhdl::get_library_ieee"
    20   string Vhdl::get_library_ieee (uint32_t depth)
     19  std::string Vhdl::get_library_ieee (uint32_t depth)
    2120  {
    2221    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2322
    24     string        tab = string(depth,'\t');
    25     ostringstream text;
     23    std::string        tab = std::string(depth,'\t');
     24    std::ostringstream text;
    2625
    27     text << tab                                       << endl
    28          << tab << "library ieee;"                    << endl
    29          << tab << "use ieee.numeric_bit.all;"        << endl
    30          << tab << "use ieee.numeric_std.all;"        << endl
    31          << tab << "use ieee.std_logic_1164.all;"     << endl
    32          << tab << "use ieee.std_logic_arith.all;"    << endl
    33          << tab << "use ieee.std_logic_misc.all;"     << endl
    34          << tab << "--use ieee.std_logic_signed.all;"   << endl
    35          << tab << "use ieee.std_logic_unsigned.all;" << endl
    36          << tab << "--use ieee.std_logic_textio.all;"   << endl;
     26    text << tab                                       << std::endl
     27         << tab << "library ieee;"                    << std::endl
     28         << tab << "use ieee.numeric_bit.all;"        << std::endl
     29         << tab << "use ieee.numeric_std.all;"        << std::endl
     30         << tab << "use ieee.std_logic_1164.all;"     << std::endl
     31         << tab << "use ieee.std_logic_arith.all;"    << std::endl
     32         << tab << "use ieee.std_logic_misc.all;"     << std::endl
     33         << tab << "--use ieee.std_logic_signed.all;" << std::endl
     34         << tab << "use ieee.std_logic_unsigned.all;" << std::endl
     35         << tab << "--use ieee.std_logic_textio.all;" << std::endl;
    3736     
    3837    log_printf(FUNC,Behavioural,FUNCTION,"End");
Note: See TracChangeset for help on using the changeset viewer.