source: PROJECT_SMART_EEG/trunk/hw/sync_sys/exg_codec/exg_codec.v

Last change on this file was 89, checked in by szahmed, 11 years ago

Added Headline comments for Verilog files explaining their brief properties, version number etc.

File size: 2.3 KB
Line 
1/********************************************************************
2 *                    COPYRIGHT LIP6 2014
3 *-----------------------------------------------------------------*/
4/**
5 * @file    exg_codec.v
6 * @brief   Performs EXG data Compression/Processing
7 *
8 * This module perfoms recieves raw EXG data from AvalonST sink, perfoms compression/processing of the data
9 * and sends the input raw data and compressed data to stream merger module via AvalonST sources
10 *
11 * @author  S. Z. Ahmed         <syed-zahid.ahmed@lip6.fr>
12 * @author  L. Lambert          <laurent.lambert@lip6.fr>
13 * @date    Fri. 28 Feb. 2014
14 *
15 * Revision History
16 * @version  V1.0  Fri. 28 Feb. 2014 {Initial Arch.: Template file created with qsys gui}
17 *
18 *******************************************************************/
19
20`timescale 1 ps / 1 ps
21module exg_codec #(
22                parameter AUTO_CLOCK_CLOCK_RATE = "-1"
23        ) (
24                input  wire        clk,                //      clock.clk
25                input  wire        reset,              //      reset.reset
26                input  wire [31:0] asi_raw_exg_data,       //        raw_exg.data
27                output wire        asi_raw_exg_ready,      //           .ready
28                input  wire        asi_raw_exg_valid,      //           .valid
29                input  wire [7:0]  avs_ctrl_address,     //       ctrl.address
30                input  wire        avs_ctrl_read,        //           .read
31                output wire [31:0] avs_ctrl_readdata,    //           .readdata
32                input  wire        avs_ctrl_write,       //           .write
33                input  wire [31:0] avs_ctrl_writedata,   //           .writedata
34                output wire        avs_ctrl_waitrequest, //           .waitrequest
35                output wire [31:0] aso_raw_exg_data,      // raw_exg.data
36                input  wire        aso_raw_exg_ready,     //           .ready
37                output wire        aso_raw_exg_valid,      //           .valid
38                output wire [31:0] aso_comp_exg_data,      // comp_exg.data
39                input  wire        aso_comp_exg_ready,     //           .ready
40                output wire        aso_comp_exg_valid      //           .valid
41        );
42
43        // TODO: Auto-generated HDL template
44
45        assign asi_raw_exg_ready = 1'b0;
46
47        assign avs_ctrl_waitrequest = 1'b0;
48
49        assign avs_ctrl_readdata = 32'b00000000000000000000000000000000;
50
51        assign aso_comp_exg_valid = 1'b0;
52
53        assign aso_comp_exg_data = 32'b00000000000000000000000000000000;
54
55endmodule
Note: See TracBrowser for help on using the repository browser.