Ignore:
Timestamp:
Dec 3, 2012, 2:57:30 PM (12 years ago)
Author:
rolagamo
Message:

Version stable pour les tests

File:
1 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/CORE_MPI/BRANCHES/v0.01/EX1_FSM.vhd

    r32 r35  
    324324                                                                                                        n<=n+1;
    325325                                                                                                        tempval:=Ram_data_in;
    326                                                                                                         src_address<=std_logic_vector(to_unsigned(core_get_adr+6,16));
     326                                                                                                        src_address<=std_logic_vector(to_unsigned(core_base_adr+4,16));
    327327                                                                                                end if;
    328328                                                                                                elsif n=1 then
Note: See TracChangeset for help on using the changeset viewer.