Changeset 42 for PROJECT_CORE_MPI


Ignore:
Timestamp:
Dec 20, 2012, 3:42:59 PM (12 years ago)
Author:
rolagamo
Message:

Version stable avant optimisation

Location:
PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.01
Files:
2 edited

Legend:

Unmodified
Added
Removed
  • PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.01/CoreTypes.vhd

    r40 r42  
    276276-- Start of an example image function
    277277function image(L: bit) return String is
    278 constant bit_image: String := bit'image(L);
     278variable bit_image: String(1 to 1) := bit'image(L);
    279279begin
    280 return(bit_image(2 to 2));
     280return(bit_image(1 to 1));
    281281end function image;
    282282
    283283
    284284function image(L: std_logic) return String is
    285 variable bit_image: String := std_logic'image(L);
     285variable bit_image: String(1 to 1) := std_logic'image(L);
    286286begin
    287 return(bit_image(2 to 2));
     287return(bit_image(1 to 1));
    288288end function image;
    289289
  • PROJECT_CORE_MPI/SWITCH_GEN/BRANCHES/v0.01/SWITCH_GEN.vhd

    r22 r42  
    3232entity SWITCH_GEN is
    3333 --type portio is array(positive range) of std_logic_vector (7 downto 0);   
    34  generic(number_of_ports : positive := 8);
     34 generic(number_of_ports : positive := 4);
    3535     port(
    3636                -- ports d'entree
Note: See TracChangeset for help on using the changeset viewer.